Главная страница
Навигация по странице:

  • «САМАРСКИЙ ГОСУДАРСТВЕННЫЙ АЭРОКОСМИЧЕСКИЙ УНИВЕРСИТЕТ ИМЕНИ АКАДЕМИКА С.П.КОРОЛЕВА (НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ УНИВЕРСИТЕТ )»ИССЛЕДОВАНИЕ ФАЗОВОГО ДЕТЕКТОРА

  • Исследование фазового детектора

  • 2 Основные теоретические сведения 2.1 Общие сведения

  • А) ФД на основе перемножителя сигналов (рис.2).

  • 3 Исследование коммутационного фазового детектора 3.1 Описание макета

  • 3.2 Снятие детекторной характеристики для двух сопротивлений нагрузки

  • 3.3 Снятие частотной характеристики фазового детектора

  • Контрольные вопросы и задания для зачета по лабораторной работе

  • 8 Рекомендуемая литература

  • ЛР4-ФД. Исследование фазового детектора методические указания к лабораторной работе 3 самара 2012 Составитель В. А. Днищенко


    Скачать 0.52 Mb.
    НазваниеИсследование фазового детектора методические указания к лабораторной работе 3 самара 2012 Составитель В. А. Днищенко
    Дата26.11.2021
    Размер0.52 Mb.
    Формат файлаdoc
    Имя файлаЛР4-ФД.doc
    ТипИсследование
    #282606

    МИНОБРНАУКИ РОССИИ
    ФЕДЕРАЛЬНОЕ ГОСУДАРСТВЕННОЕ БЮДЖЕТНОЕ ОБРАЗОВАТЕЛЬНОЕ УЧРЕЖДЕНИЕ ВЫСШЕГО ПРОФЕССИОНАЛЬНОГО ОБРАЗОВАНИЯ

    «САМАРСКИЙ ГОСУДАРСТВЕННЫЙ АЭРОКОСМИЧЕСКИЙ УНИВЕРСИТЕТ ИМЕНИ

    АКАДЕМИКА С.П.КОРОЛЕВА (НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ УНИВЕРСИТЕТ

    ИССЛЕДОВАНИЕ ФАЗОВОГО ДЕТЕКТОРА

    Методические указания к лабораторной работе №3

    САМАРА 2012



    Составитель: В.А.Днищенко

    УДК 621.376.2


    Исследование фазового детектора: Метод. указания / Самарс. гос. аэрокосмический ун-т. Сост. В.А.Днищенко. Самара 2012. 12с.


    Приведены общие сведения о детектировании ФМ-сигналов. Изложены вопросы по теоретической подготовке и практическому выполнению экспериментальных исследований основных характеристик коммутационного фазового детектора.

    Методические указания рекомендуются для студентов дневного отделения специальности 210302.


    Печатается по решению редакционно-издательского совета Самарского государственного аэрокосмического университета имени академика С.П.Королева.


    Рецензент: Малыгин Н.А.

    1 Цель работы: Закрепление знаний по основным принципам детектирования фазомодулированных сигналов и ознакомление с вариантами схемотехнического построения детекторов. Экспериментальное исследование основных характеристик коммутационного фазового детектора.

    2 Основные теоретические сведения

    2.1 Общие сведения

    Фазой гармонического колебания называется аргумент синусоидальной (косинусоидальной) функции, описывающей это колебание. В общем случае полная фаза содержит несколько составляющих. Аналитическое выражение фазомодулированного колебания имеет вид

    , (1)

    где – начальная фаза колебания;

    – линейно нарастающая во времени составляющая;

    – функция, отображающая процесс фазовой модуляции.

    Г рафик изменения во времени полной фазы изображен на рис.1. Для простоты рассматривается модуляция фазы простейшим гармоническим колебанием. Из рисунка видно, что для однозначного определения текущего значения информационной составляющей необходимо в каждый момент времени из полной фазы вычитать сумму двух других составляющих, т.е. обеспечивать на приемной стороне реализацию выражения . (2)

    Для решения этой задачи на приемной стороне необходимо иметь источник опор-

    Рис.1 ного напряжения, работающий на частоте , с точностью, до фазы, совпадающей с частотой несущей .

    Устройство, величина напряжения на выходе которого пропорциональна отклонению текущей фазы сигнала от опорного значения, а полярность которого зависит от стороны отклонения фазы, называется фазовым детектором. В зависимости от способа взаимодействия напряжения сигнала с опорным напряжением различают три варианта построения фазовых детекторов (ФД):
    А) ФД на основе перемножителя сигналов (рис.2).

    П усть напряжение сигнала описывается выражением (1), а опорное напряжение изменяется в соответствии с формулой . Для простоты полагаем коэффициент передачи перемножителя равным единице.

    Рис.2 Тогда напряжение в точке (1) запишется в виде

    (3)

    Второе слагаемое, имеющее частоту 2ω0, легко отфильтровывается в ФНЧ. Обозначив коэффициент передачи ФНЧ через «Кф», получим на выходе

    . (4)

    Выходное напряжение зависит от по закону косинуса, а требуется линейная зависимость. Для её получения фазу опорного напряжения сдвигают по отношению к фазе принимаемого сигнала на угол . В этом случае (4) принимает вид

    .

    Обычно отклонения фазы в процессе модуляции не превышают 10…15°, при этом синус таких малых углов примерно равен самим углам (в радианном измерении) и последнее выражение можно переписать в виде

    . (5)

    Заметим, что в выражение для коэффициента передачи фазового детектора (см. формулу (4)) входит как амплитуда опорного напряжения, так и амплитуда сигнала. Очевидно, что для обеспечения , необходимо, во-первых, стабилизировать амплитуду опорного напряжения и, во-вторых, устанавливать перед ФД ограничитель амплитуды сигнала, устраняющий паразитные флюктуации этой амплитуды, возникающие при распространении сигнала и его обработке в предшествующих каскадах.

    Б) Векторомерные ФД

    В
    основу работы положено векторное суммирование (с учетом фаз) опорного и сигнального напряжения с последующим его амплитудным детектированием в АД. Структурная схема изображена на рис.3. Рис.4 поясняет процесс преобразования в сумматоре фазовой модуляции в амплитудную. Действительно, при изменении во времени , примерно пропорционально этой величине будет меняться и длина суммарного вектора . Из рисунка видно, что суммарный вектор будет модулирован и по фазе, но амплитудный детектор не чувствителен к фазе высокочастотного заполнения, поэтому искажения выходного сигнала по этой причине будут отсутствовать.

    Рис.3 Рис.4
    В) Коммутационные ФД

    П ринцип действия таких детекторов рассмотрим на примере структурной схемы, изображенной на рис.5. С помощью трансформатора Тр, имеющего средний вывод от вторичной обмотки, соединенный с корпусом, на контактах «1» и «2» ключа Кл. создаются два противофазных напряжения сигнала. Контакт «3» ключа с частотой опорного напряжения (например, с помощью вибропреобразователя) переключается между контактами «1» и «2», поочередно подключая их ко входу ФНЧ. На рис. 6 приведены эпюры напряжений, в различных точках схемы, поясняющие работу детектора. Фазовый сдвиг между опорным напряжением и напряжением сигнала на рис.6а равен нулю.

    Рис.5 В этом случае одновременно со сменой полярности входного напряжения происходит переключение контакта «3» из положения «1» в положение «2». В результате входной ток ФНЧ все время имеет одно и тоже направление (втекает в фильтр). ФНЧ выделяет постоянную составляющую положительных синусоидальных импульсов и её величина на выходе ФНЧ в этом случае максимальна, и напряжение имеет положительную полярность.

    При запаздывании входного сигнала по отношению к опорному на 90° (рис.6б) входной ток ФНЧ в течение половины периода опорного напряжения (вторая и четвертая четверть) имеет положительное значение, и в течение половины же (первая и третья четверть) – отрицательное. Постоянная составляющая такого тока, а значит и выходного напряжения ФНЧ, равна нулю.

    П
    ри сдвиге в 180° (рис.6в) картина аналогична первому варианту, стой лишь разницей, что полярность выходного напряжения меняется на противоположную. Таким образом, рассмотренное устройство обеспечивает получение выходного напряжения, величина которого зависит от величины фазового сдвига между сигнальным и опорным напряжением, а полярность этого напряжения определяется стороной фазового сдвига.

    Рис.6

    Следует отметить, что зависимость напряжения от сдвига фаз в рассмотренном варианте может считаться пропорциональной только в небольших окрестностях исходного фазового сдвига в 90°.
    3 Исследование коммутационного фазового детектора

    3.1 Описание макета

    П рактическая схема варианта такого детектора, называемого еще цифровым фазовым детектором, приведена на рис.7. Триггеры Шмита DD1 и DD2 преобразуют гармонические напряжения полезного сигнала и опорного в «меандр»

    Рис.7 (см. рис.8, эпюры в точках «1» и «2»). Цифровая микросхема DD3 «исключающее ИЛИ-НЕ» и фильтр нижних частот LфСф собственно и обеспечивают фазовое детектирование. Принцип работы устройства ясен из анализа эпюр напряжений в характерных точках схемы (рис.8). При сигналы на входах DD3 изменяются синхронно и в соответствии с переключательной функцией элемента «исключающее ИЛИ» выходное напряжение равно нулю и в случае подачи на оба входа логического нуля, и в случае подачи логической единицы. После инвертора напряжение все время соответствует уровню логической единицы, т.е. примерно равно напряжению питания (рис.8а). Понятно, что таким же оно остается и на выходе фильтра.

    При фазовом сдвиге (рис.8б) выходной сигнал DD3 будет равен логической единице и когда оба входные равны нулю, и когда они оба равны единице. Как видно из рисунка, выходные импульсы при этом имеют скважность равную двум и постоянная составляющая этих импульсов на выходе фильтра равна половине напряжения питания.

    Если же , то выходной сигнал все время равен нулю. Очевидно, что выходное напряжение всегда будет пропорционально площади импульсов на выходе элемента DD3. Из рисунка видно, что эта площадь линейно зависит от фазового сдвига между опорным и полезным сигналом. Необходимо обратить внимание, что за период повторения формируются два импульса. Их суммарная длительность, измеренная в единицах фазового угла, равна (см.рисунок 8,б) .

    Рис.8

    Поскольку постоянная составляющая прямоугольных импульсов, как известно, может быть определена выражением

    , то детекторная характеристика будет описываться линейной зависимостью

    . (6)

    Г рафик этой зависимости приведен на рис.9. Верхний график, иллюстрирующий зависимость (6), показывает, что напряжение на выходе ФНЧ все время положительное, что не соответствует требованиям к выходному напряжению фазового детектора (полярность напряжения должна зависеть от стороны отклонения). Для устранения этого недостатка необходимо из выходного напряжения вычесть каким-либо схемотехническим решением постоянную величину . Полученная зависимость (нижний график на рис.9) и будет детекторной характеристикой. Существенным достоинством такого ФД является большая ширина рабочего участка характеристики .

    Рис.9 Исходный фазовый сдвиг и у этого типа ФД должен быть равен .

    Выражение для детекторной характеристики, при этом, примет вид:

    . (7)

    3.2 Снятие детекторной характеристики для двух сопротивлений нагрузки

    В программе схемотехнического моделирования Micro-Cap8 собрать схему, приведенную на рис.10. Источник Е1 генерирует гармоническое колебание, аналитическое выражение которого должно иметь вид: . Второе слагаемое в скобках обеспечивает линейно нарастающую во времени добавку фазы к текущей фазе высокочастотного напряжения входного сигнала. Источник сигнала требуемой формы вызывается последовательным прохождением пунктов верхнего меню: Компоненты → Analog Primitives → Function Sources → NFV. После установки этого генератора открывается панель его настройки (рис.11).



    Рис.10
    Рис.11

    В окне «значение» производится описание генератора в соответствии с выражением, стоящим после знака равенства в строке VALUE. После нажатия кнопки «ОК» генератор устанавливается в схему. Аналогично устанавливается генератор опорного напряжен
    ия Е2, с тем лишь отличием, что в панели настройки необходимо вписать выражение в соответствии с рис.12.

    Рис.12

    В
    место триггеров Шмита, указанных на рис.7, в практическом варианте схемы устанавливаются ограничители амплитуды Х1 и Х2.

    Рис.13

    Их вызов осуществляется по пути: Компоненты → Analog Primitives → Macros → Clip. В открывшейся панели необходимо установить уровни ограничения 0 и +4В (рис.13). Оба ограничителя полностью идентичны.

    В результате амплитудного ограничения входного и опорного сигналов в точках «2», «4» схемы (рис.10)будут сформированы практически прямоугольные импульсы типа «меандр», частота следования и начальная фаза которых полностью повторяет соответствующие параметры ограничиваемых сигналов. Такое преобразование необходимо для нормальной работы импульсного (цифрового) фазового детектора, реализованного на элементе «Исключающее ИЛИ» (Х4). В качестве такового используется импортный аналог отечественной микросхемы К1533ЛП5 (тип аналога указан на принципиальной схеме), имеющийся в библиотеке элементов программы Micro-Cap8. Фильтр нижних частот с частотой среза 20 кГц реализован на элементах R1, C1, L1, C2, R2.

    Для снятия детекторной характеристики необходимо запустить режим анализа переходных процессов, инициируемый нажатием кнопок Анализ → Переходных процессов. В открывшемся диалоговом окне установить параметры анализа, приведенные на рис. 14.


    Рис.14

    В окне "Диапазон времени" установлено максимальное время анализа 6,28 мс. Это означает, что при анализе работы схемы текущее изменение времени будет лежать в пределах 0…6,28мс. Такое изменение времени обеспечит изменение фазы генератора Е1 (второе слагаемое в аргументе синусоиды на панели задач рис. 11) в пределах . Таким образом, ось времени на графиках будет трансформироваться в ось фазовых сдвигов, измеряемых в радианах. Для исследования влияния сопротивления нагрузки на вид дискриминационной характеристики нажать кнопку «По шагам» и в открывшемся окне установить параметры, приведенные на рис. 15.

    После нажатия кнопки «Запуск» на экране компьютера будет построены две дискриминационные характеристики детектора для R2=5кОм и R2=10кОм. По горизонтальной оси отложен фазовый сдвиг между напряжением сигнала и опорным напряжением, в интервале 0…6,28рад. По вертикальной оси – напряжение на выходе фильтра нижних частот. Зарисовать характеристики в отчет. Определить по графику коэффициент передачи фазового детектора для обоих значений нагрузочного резистора.


    Рис.15
    3.3 Снятие частотной характеристики фазового детектора

    Щелкнув по генератору Е1 левой кнопкой изменить его описание в соответствии с рис.16. При таком описании генератор будет вырабатывать фазомодулированное колебание. Частота модуляции Fm=1000Гц (1кГц). Девиация фазы . Для нормальной работы детектора опорное колебание должно быть сдвинуто по фазе на величину по отношению к исходной фазе сигнала. Для этого, щелкнув по генератору Е2, необходимо добавить в выражение для полной фазы этот сдвиг, так, как это показано на рис. 17. Запустив режим анализа переходных процессов, получить выходное напряжение детектора. Измерить его амплитуду и занести результат в Таблицу 1


    Рис.16




    Рис.17

    Изменяя в описании генератора Е1 (рис.16) частоту модулирующего напряжения в пределах 1000…50000Гц с шагом 5000Гц, измерять амплитуду выходного напряжения детектора. По результатам измерения заполнить Таблицу 1 и построить график АЧХ детектора.

    .
    Таблица1

    Fмод, кГц

    1

    5

    10

    15

    20

    25

    30

    35

    40

    45

    50

    Uвых, мВ



































    4 Содержание отчета

    1) Наименование и цель работы.

    2) Принципиальная схема исследуемой модели детектора.

    3) Результаты измерений и расчетов по необходимым формулам.

    4) Графики характеристик.

    5) Выводы о полученных результатах.


    1. Контрольные вопросы и задания для зачета по лабораторной работе

    1. Изобразите структурную схему и поясните принцип действия ФД на основе перемножителя сигналов.

    2. Изобразите структурную схему и поясните принцип действия векторомерного ФД

    1. Изобразите структурную схему и поясните принцип действия коммутационного ФД

    2. Принцип действия диодного векторомерного ФД.

    3. Принцип действия балансного фазового детектора.

    4. Принцип действия коммутационного фазового детектора на основе элемента «Исключающее ИЛИ-НЕ».

    5. Изобразите эпюры напряжений в точках 2, 4, 6 детектора, изображенного на рис.10..

    6. Получите выражение для детекторной характеристики балансного фазового детектора для случая Uc<

    7. Получите выражение для детекторной характеристики балансного фазового детектора для случая Uc=Uоп.


    8 Рекомендуемая литература:

    1. Радиоприемные устройства. / Под ред. проф. А.П. Жуковского. - М.: Высшая школа, 1989, стр. 123…128.

    2. Головин О.В. Радиоприемные устройства. -М.: Высшая школа, 1997, стр. 153…166, 169…176.

    3. Радиоприемные устройства. / Под ред. проф. В.М. Сифорова. - М.: Сов. Радио, 1974.


    Учебное издание


    Исследование фазового детектора



    Методические указания к лабораторной работе



    Составитель: В.А. Днищенко



    Самарский государственный аэрокосмический университет имени академика С.П.Королева. 443086, Самара, Московское шоссе, 34.


    написать администратору сайта