Исследование дешифратора и шифратора. му лр1. Отчет к Лабораторной работе Исследование дешифратора и шифратора. ВыполнилИ Студенты 2 курса Принял
Скачать 0.61 Mb.
|
ФЕДЕРАЛЬНОЕ АГЕНТСТВО СВЯЗИ Федеральное государственное образовательное бюджетное учреждение высшего профессионального образования «САНКТ-ПЕТЕРБУРГСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ТЕЛЕКОММУНИКАЦИЙ им. проф. М.А.БОНЧ-БРУЕВИЧА» Кафедра программной инженерии и вычислительной техники Отчет к Лабораторной работе № 1. Исследование дешифратора и шифратора. ВыполнилИ: Студенты 2 курса Принял: Степаненков Г.В. Санкт-Петербург 2022 Цель работы: 1) изучение функционирования простейшего КЦУ; 2) получение основных навыков проектирования схем в редакторе пакета Quartus15. Создаём проект и записываем текст программы 1.1. Программа 1.1 module dec (input wire [2:0]adr, output wire [7:0]mng); reg [7:0]y; assign mng=y; always @(adr) begin case(adr) 3'b000: y=8'b00000001; 3'b001: y=8'b00000010; 3'b010: y=8'b00000100; 3'b011: y=8'b00001000; 3'b100: y=8'b00010000; 3'b101: y=8'b00100000; 3'b110: y=8'b01000000; 3'b111: y=8'b10000000; endcase; end endmodule Откомпилируем полученный файл. Произведём функциональную симуляцию полученного устройства. Установим на входной шине интервал изменения сигнала 20ns и получим результат. Аналогично для программы 1.2. Создаём проект и записываем текст программы 1.2. Программа 1.2 module cod (input wire [7:0]mng, output wire [2:0]adr); reg [2:0]cd; assign adr=cd; always @(mng) begin case(mng) 8'b00000001: cd=3'b000; 8'b00000010: cd=3'b001; 8'b00000100: cd=3'b010; 8'b00001000: cd=3'b011; 8'b00010000: cd=3'b100; 8'b00100000: cd=3'b101; 8'b01000000: cd=3'b110; 8'b10000000: cd=3'b111; default: cd=3'bzzz; endcase; end endmodule Откомпилируем полученный файл. Произведём функциональную симуляцию полученного устройства. Установим на входной шине интервал изменения сигнала 20ns и получим результат. Вывод: Изучили функционирование простейшего КЦУ и получили основные навыки проектирования схем. Увидели диаграммы работы устройств. |