Главная страница
Навигация по странице:

  • СХЕМОТЕХНИКА ЭВМ часть

  • Лабораторная работа №3 Моделирование цифровых схем с использованием параметрических элементов

  • Основные теоретические сведения

  • Реализация проекта на параметрических элементах

  • Задание к выполнению лабораторной работы

  • Контрольные вопросы

  • Лабораторная работа №4 Цель работы

  • Порядок выполнения работы

  • Методические указания по выполнению лабораторных работ для студентов вечернего и заочного отделений


    Скачать 1.05 Mb.
    НазваниеМетодические указания по выполнению лабораторных работ для студентов вечернего и заочного отделений
    АнкорQuartus
    Дата15.11.2021
    Размер1.05 Mb.
    Формат файлаdoc
    Имя файла3-4__1.doc
    ТипМетодические указания
    #272746
    страница1 из 2
      1   2

    ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ
    Государственное образовательное учреждение высшего профессионального образования
    “Московский государственный институт
    радиотехники, электроники и автоматики (технический университет)”

    Подлежит возврату

    № 0000


    СХЕМОТЕХНИКА ЭВМ

    часть iI


    Методические указания по выполнению лабораторных работ

    для студентов вечернего и заочного отделений

    специальности 230101

    МОСКВА 2008
    Составитель О.Е.Добронравов, Л.В.Казанцева

    Редактор М.И. Антик
    Излагается материал по лабораторным работам, связанный с использованием программируемых логических интегральных схем большой ёмкости для проектирования элементов и узлов ЭВМ с применением САПР MAX+PLUS II фирмы ALTERA.

    Методические указания предназначены для студентов специальности 230101 “Вычислительные машины, комплексы системы и сети” вечернего и заочного отделений
    Печатаются по решению редакционно-издательского совета университета.
    Рецензенты: Е.Л. Иванов

    В.Г.Еременко

    © МИРЭА, 2008
    Лабораторная работа №3

    Моделирование цифровых схем с использованием параметрических элементов

    Цель работы:

    Приобретение навыков использования параметрических элементов (LPM function) в САПР MAX+PLUS II, экспериментальное исследование счетчиков и регистров, построенных на их основе.

    Основные теоретические сведения:

    Счетчики и регистрыРегистры и счетчики относятся к разряду цифровых устройств и являются одним из наиболее распространенных элементов вычислительной техники. Они широко используются для построения устройств ввода, вывода и хранения информации, а также для выполнения некоторых арифметических и логических операций.

    Для построения счетчиков и регистров используются синхронные триггеры, переключение которых происходит только при наличии синхронизирующего сигнала (синхроимпульса) на входе С. Наиболее часто для построения регистров и счетчиков используется D-триггер, имеющий специальный информационный вход D, и динамический вход С ( рис.1.1).



    Рис. 1.1. D – триггер
    Устройство, называемое счетчиком, предназначено для подсчета числа поступающих на вход сигналов (импульсов) в произвольной системе счисления. Двоичные счетчики строятся на основе триггеров, работающих в счетном режиме ( Т - триггер или счетный триггер).

    Счетный триггер может быть получен из универсального D - триггера путем соединения его инверсного выхода Q со входом D.

    Счетный триггер и эпюры сигналов, поясняющие его работу, представлены на рис.1.2.



    Рис. 1.2. Счетный триггер и его работа
    У счетного триггера состояние выхода изменяется на противоположное при поступлении на вход С каждого очередного счетного импульса.

    Функциональная схема и условное графическое обозначение двоичного счетчика с коэффициентом пересчета 23 представлена на рис. 1.3.



    Рис. 1.3. Двоичный счетчик



    Рис. 1.4. Диаграммы работы двоичного счетчика

    Каждый поступающий на вход счетчика импульс перебрасывает первый триггер в противоположное состояние (рис. 1.4). Сигнал с инверсного выхода предыдущего триггера является входным сигналом для последующего и, таким образом, комбинация сигналов на выходах Q1, Q2, Q3 будет соответствовать числу поступивших на вход счетчика импульсов, представленному в двоичном коде. Счетчик данного типа называется асинхронным счетчиком.

    Если на счетный вход каждого последующего триггера счетчика подавать сигнал с прямого выхода предыдущего триггера, то счетчик будет производить операцию вычитания. Счетчики, способные выполнять функции сложения и вычитания, называются реверсивными.

    Для построения счетчика с требуемым коэффициентом пересчета Кс, отличным от величины 2N (N - число двоичных разрядов счетчика), используется принудительный сброс счетчика в исходное состояние при достижении счетчиком числа Кс.

    Устройство, называемое регистром, служит в основном для хранения чисел в двоичном коде при выполнении над ними различных арифметических и логических операций. С помощью регистров выполняются такие действия над числами, как передача их из одного устройства в другое, арифметический и логический сдвиг в сторону младших или старших разрядов, преобразование кода из последовательного в параллельный и наоборот и т.д.



    Рис. 1.5. Регистр сдвига

    Функциональная схема и условно-графическое обозначение регистра сдвига представлены на рис. 1.5.

    Последовательный информационный код поступит на вход D регистра. Импульс команды сдвига С подается одновременно на синхронизирующие входы всех триггеров регистра и переводит каждый триггер в состояние, в котором находился триггер предыдущего разряда. Таким образом, каждый импульс команды сдвига "продвигает" записываемое число на один разряд вправо.

    При введении обратной связи в регистр сдвига, последний превращается в замкнутое кольцо, в котором под воздействием тактовых импульсов циркулирует введенная в регистр информация. Такие регистры называют кольцевыми счетчиками. Кодовая единица, введенная в первый триггер, циркулирует в течении всего времени существования тактовых импульсов, подаваемых на входы С всех триггеров счетчика. Приходящий тактовый импульс перебрасывает триггер, который был в состоянии 1, в состояние 0. Поскольку выход Q этого триггера связан с входом D следующего триггера, то последний устанавливается в состояние 1 и т.д. Количество состояний такого счетчика равно числу триггеров.
    Реализация проекта на параметрических элементах
    Применение параметрических элементов САПР MAX+PLUS II в разработке проектов цифровых схем рассмотрим на примере реализации реверсивного счетчика разрядностью 4.

    Создаем новый файл графического редактора и сохраняем его под определенным именем (например: lab_3) в предварительно созданном каталоге \max2work\lab_3. Двойным щелчком правой кнопки мыши открываем меню ввода символов (Enter Symbol), выбираем библиотеку mega_lpm и в ней выбираем lpm_counter. После нажатия кнопки OK появляется окно (Edit Ports/Parameters) редактирования параметров и входов/выходов счетчика (рис. 1.6). Выбрав необходимые входы/выходы счетчика в поле Ports и задав разрядность LPM_WIDTH и направление счета LPM_DIRECTION (в данном примере: вычитание) в поле Parameters, нажимаем кнопку OK.



    Рис. 1.6. Окно редактирования параметров счетчика


    Рис. 1.7. Результат моделирования работы счетчика
    Далее располагаем входные и выходные выводы схемы проекта. Когда схема создана, делаем проверку на предмет наличия ошибок ввода схемы, для чего запускаем компилятор.

    Если компиляция прошла успешно, создаем файл симулятора для анализа работы счетчика. В созданном файле задаем входной (in) периодический сигнал с периодом следования импульсов в 20 nc. Сохраняем файл и запускаем симулятор. Результатом симуляции будет диаграммы работы счетчика, приведенные на рис. 1.7.

    Для анализа временных задержек запускаем временной анализатор (Timing Analyzer) и нажимаем кнопку START. Результаты временного анализа представлены на рис. 1.8.

    Из рис. 1.8 видно, что задержка распространения сигнала от входа до любого из выходов счетчика составляет 6,5 nc. Такие результаты получены при назначении ПЛИС типа EP610ILC-10. Если же выбрать ПЛИС семейства MAX3000A то временные задержки в работе данного счетчика составят 3 nc.


    Рис. 1.8. Таблица временных задержек исследуемого счетчика
    Описание некоторых параметрических элементов САПР MAX+PLUS II представлено в приложении.
    Задание к выполнению лабораторной работы:


    1. Изучить правила построения и принцип работы триггеров и построение на их основе логических схем.

    2. Нарисовать электрическую схему по указанию преподавателя при помощи графического редактора САПР MAX+PLUS II.

    3. Произвести симуляцию работы схемы, зарисовать диаграммы работы и по ее результатам заполнить таблицу истинности смоделированной схемы.

    4. Спроектировать эту же электрическую схему, но с использованием параметрических элементов САПР MAX+PLUS II, проверить ее работу в сигнальном редакторе и оценить временные задержки в схеме.

    5. Ответить на контрольные вопросы, оформить отчет о выполненной работе.


    Контрольные вопросы


    1. Объясните понятие «параметрический элемент». Какие параметрические элементы доступны в САПР MAX+PLUS II?

    2. Объясните принцип работы счетчика построенного на триггерах. Какие типы счетчиков существуют?

    3. Объясните назначение пунктов меню Edit Ports/Parameters.

    4. Чем ограничивается максимальная скорость работы счетчика? Какова максимальная частота работы счетчика разработанного в ходе выполнения лабораторной работы?


    Лабораторная работа №4
    Цель работы:

    Ознакомиться с САПР Max+Plus II фирмы Altera, получить практические навыки создания проектов по схемотехнике ЭВМ в САПР (ввод схем, компиляция и моделирование).
    Задание:

    1. Согласно своему варианту графа состояний автомата разработать функциональную электрическую схему цифрового программируемого устройства преобразования кодов.

    2. Включить ЭВМ и запустить САПР Max+Plus II.

    3. Создать проект, ввести разработанную схему, откомпилировать и отмоделировать её.

    4. Проверить полученные результаты, сверив их с таблицей истинности устройства.


    Порядок выполнения работы:

    1. Получить № варианта состояний графа устройства (табл. 1).

    2. На основе исходного графа состояний (рис. 2.1) и согласно своему варианту составить таблицу перекодировки состояний устройства в десятичном и двоичном коде.

    3. Подставить новые значения состояний в исходный граф.

    4. Составить таблицу истинности работы устройства.

    5. По таблице истинности разработать функциональную электрическую схему устройства.

    6. Включить ЭВМ.

    7. Создать рабочую папку на рабочем столе Windows и дать ей название, совпадающее с фамилией выполняющего работу.

    8. Запустить САПР Max+Plus II.

    9. Выбрать пункт меню File/Project/Name…

    10. В открывшемся окне в разделе Directories выбрать созданную папку для нового проекта, в разделе Project Name ввести имя файла с расширением gdf (например, ivanov.gdf). Затем нажать «ОК».

    11. Создать указанный файл проекта (ivanov.gdf), выбрав пункт меню File/New…

    12. В появившимся окне по умолчанию стоит «Graphic Editor file», который будет использоваться в данной работе, поэтому ничего не меняя, нажать «ОК».

    13. Сохранить созданный файл под тем же именем (ivanov.gdf), нажав Ctrl+S, а затем «ОК».

    14. Выбрать пункт меню Assign/Device...В разделе Device Family указать FLEX10K, затем сняв галочку с позиции Show Only Fastest Speed Grades, выбрать в разделе Devices тип ПЛИС, применяемой в проекте - EPF10K10LC84-4.

    15. Нажать «ОК» и сохранить файл.

    16. Графический ввод схемы. Для ввода элементов логических схем, обозначения входов/выходов, курсор (мигающий квадрат) устанавливается на место, где будет добавлен новый элемент и выбирается пункт меню Symbol/Enter Symbol… или производится двойной щелчок левой кнопки мыши.

    17. В открывшемся окне можно указать логический элемент двумя способами: либо ввести имя элемента в строке ввода Symbol Name, если известно имя, либо выбрать его из библиотеки элементов, указав нужную библиотеку двойным щелчком в списке Symbol Libraries. В данном случае это библиотека */maxplus2/max2lib/prim, и из списка примитивов Symbol Files выбрать нужный.

    18. Нажать «Ok». При этом выбранный элемент добавляется в схему. Для улучшения «читаемости» схемы элементы можно перетаскивать, вращать и переворачивать по горизонтали или вертикали. Для этого нужно выделить элементы щелчком левой кнопки мыши и затем, щелкнув правой кнопкой, в сплывающем меню выбрать пункт Flip Horizontal, Flip Vertical или Rotate.

    19. Соединение элементов можно выполнить «вытаскиванием» выводов (подвести курсор к выводу элемента, нажать левую кнопку графического манипулятора (мыши) и не отпуская её провести линию необходимой длины).

    20. Добавление текста в схему: установить курсор на входе/выходе элемента, нажать и отпустить левую кнопку мыши, и ввести текст. Если текст расположен над линией («проводом»), то этот текст будет названием данного «провода». «Провода» с одинаковым текстом считаются соединенными вместе.

    21. Компиляция проекта. Компилятор запускается из меню MAX+plus II / Compiler.

    22. Нажать кнопку «Start» при этом запускается процесс компиляции. По окончании компиляции будет создан файл отчета lab1_1.rpt.

    23. Если схема составлена без ошибок, появится сообщение: «Project compilation was successful» (компиляция проекта прошла успешно). После чего, необходимо нажать на кнопку «ОК».

    24. В окне «Compiler» дважды кликнуть мышью на цилиндр с надписью «snf» и в открывшимся окне (Simulator: Timing Simulation) ввести параметры временной диаграммы:



    Рис. 2.1. Исходный граф

    Таблица 1

    Варианты состояний графа

    № вар.

    Состояния графа

    0

    1

    2

    3

    4

    5

    6

    7

    8

    9

    10

    11

    12

    13

    14

    15

    1

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    2

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    3

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    4

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    5

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    7

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    8

    9

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    14

    10

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    2

    11

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    9

    12

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    1

    13

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    12

    14

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    11

    15

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    3

    16

    3

    11

    12

    1

    9

    2

    14

    8

    7

    6

    4

    13

    10

    15

    5

    0

    17

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    9

    1

    12

    11

    3

    18

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    9

    1

    12

    11

    19

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    9

    1

    12

    20

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    9

    1

    21

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    9

    22

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    2

    23

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    14

    24

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    8

    25

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    7

    26

    7

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    6

    27

    6

    7

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    4

    28

    4

    6

    7

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    13

    29

    13

    4

    6

    7

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

    10

    30

    10

    13

    4

    6

    7

    8

    14

    2

    9

    1

    12

    11

    3

    0

    5

    15

      1. End Time – 4 μs;

      2. Oscillation – 100 ns.

    Далее необходимо создать файл для построения временных диаграмм. Для этого надо выбрать MAX+plusII/Waveform Editor. После чего зайти в File/Save as… и сохранить файл с расширением scf (ivanov.scf).

    1. В окне «Waveform Editor» в графе Name ввести названия входов и выходов схемы, для которых необходимо построить временные диаграммы. Для этого в графе Name дважды кликнуть мышкой и в появившемся окне «Insert mode» в разделе I/O Type выбрать Input Pin (стоит по умолчанию) и в окне Node Name ввести название входа Clk и нажать «ОК».

    2. Повторить пункт 25 для ввода входов А и В.

    3. Выходы схем вводятся также как и входы (пункт 25), только в разделе I/O Type выбрать Output Pin. Необходимо отобразить выходы: q0, q1, q2, q3, q[3..0].

    4. Зайти в меню File/End Time… и ввести время окончания построения временной диаграммы = 4 мкс.

    5. Подать синхросигнал и установить необходимое состояние входов А и В на временной диаграмме.

    6. Вернуться в окно «Simulator: Timing Simulation» Нажать «Start». В появившемся окне появится сообщение: «Project simulation was successful» (имитация (симуляция) проекта прошла успешно).

    7. В окне “Waveform editor” появятся временные диаграммы работы устройства. Если последовательность на шине q[3..0] совпадает с заданной, то задание выполнено правильно.
      1   2


    написать администратору сайта