Главная страница

Лекции по цифровой электронике. Логические функции и логические элементы


Скачать 2.34 Mb.
НазваниеЛогические функции и логические элементы
АнкорЛекции по цифровой электронике.doc
Дата07.05.2018
Размер2.34 Mb.
Формат файлаdoc
Имя файлаЛекции по цифровой электронике.doc
ТипДокументы
#18993
КатегорияИнформатика. Вычислительная техника
страница13 из 14
1   ...   6   7   8   9   10   11   12   13   14

4.3. Счетчики

    4.3.1. Общие понятия


Счетчик - это устройство для подсчета числа входных сигналов.

Как операционный элемент счетчик реализует преобразование число- импульсного кода в позиционный по некоторому основанию системы счисления. В ЭВМ счетчики используются для образования последовательности адресов команд, для счета количества циклов выполнения операций и т.д.

С точки зрения теории автоматов, счетчик - это цифровой автомат, внутреннее состояние которого является функцией количества поступивших входных сигналов.

Количество переключающих сигналов, которое надо подать на вход счетчика для того чтобы счетчик вернулся в исходное состояние, равное числу состояний счетчика, называется коэффициентом пересчета или модулем счетчика – Ксч . Счетчик называется двоичным, если Ксч = 2m, где т - целое число, m>0, и десятичным, если Ксч = 10p , где р - целое число, р > 0. Счетчики чаще всего строятся на триггерах различных типов, которые являются элементарными счетчиками с модулем 2.

Состояние счетчика в любой момент времени определяется кодом Q , который зафиксирован на его триггерах. Задать правила работы счетчика - значит тем или иным способом определить функцию Qn, = f(n), при п =0,1,2 ... Ксч , где Qn - состояние счетчика после n-го входного переключающего сигнала, n -номер входного переключающего сигнала. Очевидно, что Qp = Qp + Ксч при любом n .

Любой счетчик с модулем Ксч может быть использован как делитель частоты входных сигналов с коэффициентом деления Ксч .

По порядку изменения состояний могут быть счетчики с естественным и произвольным порядком счета. В первых счетчиках значение кода каждого последующего состояния отличается на 1 от кода предыдущего состояния.

По способу переключения триггеров во время счета счетчики делятся на асинхронные и синхронные. Первые называются еще счетчиками с последовательным переносом, т.к. переход каждого триггера из одного состояния в противоположное происходит последовательно во времени. Входной переключающий сигнал непосредственно воздействует лишь на первый триггер, и каждый триггер вырабатывает переключающий сигнал для следующего соседнего триггера.

Синхронные счетчики называются еще счетчиками с параллельным переносом, т.к. в них входной переключающий сигнал непосредственно воздействует на все триггеры счетчика, что обеспечивает одновременность переходов триггеров.

        4.3.2. Асинхронные счетчики




Р



ис. 4.9. Схема (а) и временные диаграммы (6) двоичного асинхронного суммирующего счетчика
На рис. 4.9, а изображен простейший способ включения триггеров, реализующий последовательный суммирующий счетчик. Показан трехразрядный счетчик с коэффициентом пересчета Ксч = 23 = 8, Следовательно, после подачи на вход восьми импульсов счетчик возвратится к исходному состоянию. Будем говорить, что подача на вход счетчика числа импульсов, превышающего Ксч -1 (в данном примере 7 ), вызывает переполнение счетчика.

Последовательный характер работы является причиной двух недостатков последовательного счетчика: меньшая скорость счета по сравнению с параллельными счетчиками и возможность появления ложных сигналов на выходе схемы.

Допустимая скорость счета в счетчиках обоих типов определяется максимальной скоростью переключения одного триггера.

Определяя максимальную скорость счета последовательного счетчика, следует учитывать наиболее неблагоприятный случай изменения состояния всех m триггеров. Суммарную продолжительность переходного процесса можно определить как сумму времен запаздывания отдельных элементов, соединяющих триггеры, и времен срабатывания всех триггеров. Найденное таким образом максимальное время перехода счетчика из одного состояния и другое следует считать предельным. Обычно реальное время перехода меньше предельного, так как в ряду последовательно включенных триггеров данный триггер начинает переход из одного состояния в другое еще до окончания переходного процесса в возбуждающем его элементе.

Последовательный характер переходов триггеров счетчика является источником ложных сигналов на его выходах. Например, в счетчике, ведущем счет в четырехразрядном двоичном коде с «весами» 8-4-2-1, при переходе от числа 0111 к числу 1000 на выходе появится следующая последовательность сигналов:

0111 ->0110 ->0100 ->0000 ->1000.

Это означает, что при переходе из состояния 7 в состояние 8 на выходах счетчика на короткое время появятся состояния 6; 4; 0. Эти дополнительные состояния могут вызвать неправильную работу других устройств.

        4.3.3. Синхронные счетчики


С
целью уменьшения времени протекания переходных процессов схему, показанную на рис. 4.9, а, можно реализовать в варианте с подачей входных импульсов одновременно на все триггеры. В этом случае каждый триггер вырабатывает для всех последующих лишь сигналы управления, являющиеся логической функцией состояния счетчика и определяющие конкретные триггеры, которые изменяют состояние при данном входном импульсе. Принцип формирования этих сигналов следует из временной диаграммы на рис.4.9,б : триггер меняет состояние при поступлении очередного счетного импульса , если все предыдущие триггеры находились в состоянии 1. Отсюда и следует схема синхронного счетчика, показанная на рис.4.10.
Рис. 4.10 Четырехразрядный синхронный счетчик
Быстродействие счетчика характеризуется разрешающим временем, т.е. минимальным временным интервалом между входными сигналами, при котором счетчик еще правильно функционирует. Максимальная частота счета Fmax связана с разрешающим временем Тразр простым соотношением: Fmax = Т -1разр . Очевидно, что быстродействие синхронных счетчиков при прочих равных условиях всегда выше, чем асинхронных.

        4.3.4. Интегральные счетчики.


Интегральный двоичный асинхронный счетчик К155И.Е5 (рис.4.11) состоит из счетчика на 2 (триггер T1) и счетчика на 8 (триггеры Т2-Т4), соединение которых исходно отсутствует. Установка "0" производится, когда на входах R1 и R2 одновременно "1". Во время работы хотя бы на одном из входов должен быть "0". Для получения 4-х разрядного счетчика внешней перемычкой соединяют выход Q0 со входом C2.

Десятичные счетчики строят обычно на основе четырехразрядных двоичных счетчиков. Для того чтобы уменьшить Ксч четырехразряд­ного счетчика с 16 до 10, вводят дополнительные логические связи. При этом в зависимости от вида логической связи одним и тем же десятичным числам в разных счетчиках могут соответствовать различные двоичные кодовые комбинации или, иначе говоря, счетчики работают в различных двоично-десятичных кодах.



Рис. 4.11. Структура счетчика К155ИЕ5
Схема на рис.4.12 соответствует десятичному счетчику К 155 ИЕ 2, работающему в коде 8-4-2-1. Счетчик состоит из счетчика на 2 (триггер TI) и счетчика на 5 (триггеры Т2-Т4), соединение которых исходно отсутствует. Для образования десятичного счетчика выводы Q0 и C2 соединяются между собой. Счетчик имеет входы нетактируемой установки в "0" (0000) и в "9" (1001) - выводы R1,R2 и S1,S2. Во время счета хотя 6ына одной из каждой пары входов должен быть "0".



Рис. 4.12. Структура счетчика К155ИЕ2
У
словные обозначения асинхронных счетчиков К155ИЕ 5, К155ИЕ 2 и синхронных счетчиков К155ИЕ 7 и К155ИЕ 6 показаны на рис.4.13

Рис.4.13. Условные обозначения счетчиков.

К155ИЕ7. интегральный реверсивный двоичный синхронный счетчик имеет два счетных входа: вход суммирования +1 и вход вычитания –1.

Если все триггеры находятся в состоянии "1", то при приходе импульса на вход суммирования (+1) формируется сигнал "переноса" ( ( 15 ). Импульс на входе (-1), если все триггеры находятся в состоянии "0", формирует сигнал "заема" ( 0). Эти сигналы используются для увеличения разрядности счетчиков.

К155ИЕ6 –синхронный реверсивный десятичный счетчик, работающий в коде 8-4-2-1. Кроме двоичных реверсивных межтриггерных связей, в счетчике KI55 ИЕ б существуют дополнительные логические цепи, обеспечивающие недвоичный переход от кода 1001 к коду 0000 при суммировании и обратный переход при вычитании.

Сброс счетчиков KI55 ИЕ 6 и К155 ИЕ 7 производится сигналом "1", подаваемом на вход R Во время счета на этом выводе должен быть "0".

В обоих счетчиках триггеры имеют входы предварительной установки D, тактируемые потенциалом. В режиме счета сигнал на входе С (вывод 11) равен "1", цепи предустановки отключены. Если на входе С "0", то триггеры устанавливаются в состояния, соответствующие сигналам, поданным на входы D0 ,D1 ,D2 ,D3. Естественно, что сигнал переноса в счетчике К 155 ИЕ 6 возникает на выходе ( 9) при состоянии счетчика 1001 и поступлении следующего счетного импульса.

        4.3.5. Счетчики с различными коэффициентами пересчета.


Счетчики с коэффициентами пересчета, отличающимися от двоичных и десятичных, могут быть также построены путем введения дополнительных связей в двоичные счетчики.

Однако существуют и общие методы построения счетчиков с любым заданным Ксч. Один из этих методов заключается в немедленном сбросе в "0" счетчика, установившегося в комбинацию, соответствующую числу Ксч . Если, например, нам нужно построить счетчик на 5, то, сбрасывая двоичный трехразрядный счетчик на "0" каждый раз, когда он будет принимать состояние 101, мы обеспечим возврат счетчика в исходное состояние после каждых пяти входных импульсов.

Подобный прием удобно применять при использовании счетчиков, имеющих элементы " И "на входах установки в "0" (К155ИЕ 5 и К155ИЕ 2) и в "9" (К155ИЕ 2). В качестве примера на рис.4.14 показаны соединения для получения Ксч = 10 для счетчика К155ИЕ 5 и Ксч = 7 для счетчика KI55ИЕ 2. В последнем случае из десяти состояний исключается три состояния (0110, 0111 и 1000).

При использовании синхронных счетчиков К155ИЕ б и К155ИЕ 7 для построения счетчиков с различными Ксч может использоваться метод начальной установки. Этот метод заключается в том, что счет каждый раз начинается не с нуля, как обычно, а с некоторого числа. Оно и определяет Ксч .



Рис. 4.14 а) Ксч = 10 б) Ксч = 7

        4.3.6. Применение счетчиков

4.3.6.1. Счетчик событий.


События должны быть представлены импульсами с соответствующими логическими уровнями. Максимальное число событий должно быть не более Ксч -1, иначе произойдет переполнение счетчика.

4.3.6.2. Делитель частоты импульсов.


Любой счетчик с модулем Ксч может быть использован как делитель частоты входных сигналов с коэффициентом деления Ксч .

Если частота и период импульсов на входе счетчика Fвх и Tвх, то частота импульсов на выходе старшего триггера Fвых = Fвх / Kсч , а их период Tвых = Kсч . Tвх.

4.3.6.3. Счетчик команд (СК) в процессоре ЭВМ.


В качестве СК используется двоичный счетчик, разрядность которого равна разрядности шины адреса (ША), т.к. выходы его триггеров это линии адреса соответствующего разряда. СК должен иметь возможность не только последовательного счета, но и любого изменения текущего состояния для реализации условных и безусловных переходов в исполняемой программе.

4.3.6.4. Распределители импульсов (РИ).


РИ – устройство, распределяющее поток импульсов последовательно, импульс за импульсом, по нескольким выходам так, что результирующая временная диаграмма имеет вид, как на рис.4.15. Одно из главных применений РИ – системы обегающего контроля, где РИ управляют поочередным подключением однотипных датчиков ко входу измерителя .

РИ могут строиться по различным схемам. Чаще всего это двоичный счетчик с дешифратором (ДШ). Счетчик последовательно перебирает двоичные коды, ДШ в соответствии с ними последовательно возбуждает свои выходы. При этом нужно помнить, что ДШ будет расшифровывать не только верные, установившиеся состояния счетчика, но и неустановившиеся, ложные. В результате на выходах такого РИ кроме нормальных сигналов будут появляться короткие всплески напряжения (у ДШ с прямыми выходами) или короткие провалы до нуля (у ДШ с инверсными выходами). Эти сигналы отсутствуют при использовании синхронных счетчиков или их можно устранить, стробируя ДШ по входам разрешения и запрещая его работу на время существования ложного состояния счетчика.

Роль распределителя импульсов может выполнять и сдвиговый регистр с единственной двигающейся единицей.



    1. 1   ...   6   7   8   9   10   11   12   13   14


написать администратору сайта