Главная страница

ВВЕДЕНИЕ. Введение в цифровую схемотехнику введение в схемотехнику


Скачать 1.12 Mb.
НазваниеВведение в цифровую схемотехнику введение в схемотехнику
Дата01.09.2022
Размер1.12 Mb.
Формат файлаpdf
Имя файлаВВЕДЕНИЕ.pdf
ТипДокументы
#658748

28
ВВЕДЕНИЕ В ЦИФРОВУЮ
СХЕМОТЕХНИКУ
ВВЕДЕНИЕ В СХЕМОТЕХНИКУ
ЦИФРОВЫХ ЭЛЕКТРОННЫХ УСТРОЙСТВ

29
Для детального и содержательного рассмотрения принципов и методов, лежащих в основе схемотехнического рассмотрения цифровых электронных устройств необходимо кратко пояснить ключевые понятия связанные с таким рассмотрением, такие как аналоговая и цифровая электроника, электрические схемы и, собственно, схемотехника.
Аналоговая и цифровая электроника
Электронные устройства и системы подразделяют на аналоговые и цифровые, так же как и сигналы, которые должны обрабатывать соответствующие электронные устройства. Сразу следует отметить, что есть сложности с введением строгих границ и наличием разночтений в определениях и теоретических обоснованиях в части разграничения аналоговых и цифровых сигналов. Отнесение сигнала к тому или иному виду вообще говоря м.б. неоднозначным и зависеть от контекста рассматриваемых проблем.
Отметим, что электронные устройства производят различные трансформации над сигналами и информацией, которую сигналы несут. В электронных устройствах или с их помощью сигналы формируются (генерируются), происходит запись (на носитель) и воспроизведение сигналов, их передача (в том числе на значительные расстояния). Реализуется обработка и различные специальные преобразование (модуляция, детектирование, фильтрация и т.д., и т.п.) сигналов.
Связь сигналов на входе и выходе электронного устройства описывается правилами соответствия форм входных и выходных сигналов (эти правила могут включать инерционность, быть нелинейными).
Аналоговая электроника подразумевает, что информационный сигнал
(обычно напряжение, реже – ток, несущее информацию) непрерывно изменяется в некотором рабочем диапазоне значений. Все значения этого диапазона информационно значимы и любые изменения значения сигнала во времени потенциально могут отражать ту или иную информацию, переносимую сигналом.
Поскольку при преобразованиях сигналов в электронных устройствах или при записи на носитель (воспроизведении с носителя) или распространении в канале передачи всегда в присутствуют искажения и шумы, то информация может быть
искажена и даже в большей или меньшей степени потеряна.
На рисунке показан условный пример, когда исходный сигнал (слева) после преобразований приобретает искаженный вид (справа) вследствие добавления шумов и некоторой дополнительной низкочастотной компоненты.

30
Безусловно искажения изменяют информацию, которую несет сигнал. Значимость такого изменения и возможность исправления сигнала (например, устранения внесенных компонент за счет частотной фильтрации) зависит от конкретной ситуации, характера и ограничений в формате внесения в сигнал полезной информации. Но даже относительно слабые искажения могут оказаться весьма критичными. Например, в правой части показанного на рисунке фрагмента с низким уровнем сигнала, искажения практически полностью исказили вид осцилляций исходного сигнала. Информация, переносимая этой частью сигнала, может быть потеряна и если она была важна, то это может быть существенно.
Цифровая электроникапредусматривает электронные системы и устройства, в которых для сигналов предусмотрено конечное число устойчивых дискретных уровней, и информационное содержание сигнала связано с изменением значения сигнала от одного такого уровня к другому: информация определяется дискретным уровнем (информационным уровнем), которому соответствует текущее значение сигнала, и переходами значения сигнала на с одного уровня на другой.
Ключевым вопросом является выбор количества дискретных уровней сигнала, что в свою очередь связано с противоречивыми свойствами увеличения или снижения числа уровней, которые в упрощенном виде можно сформулировать следующим образом.
• При большом количестве уровней:
– Растет сложность технической реализации (снижается надежность) электронных устройств, обрабатывающих информацию, носителей или каналов передачи.
Нужны более строгие требования по уровню шумов в каналах передачи или системах записи/воспроизведения сигналов.
– Повышается компактность информационного сообщения – каждый временной интервал с определенным дискретным уровнем сигнала может содержать больше информации и для ее передачи нужно меньше таких интервалов. Это обстоятельство позволяет при прочих равных повысить скорость передачи информации или снизить необходимую емкость носителей.
• При малом количестве уровней:
– Упрощается техническая реализация (повышается надежность) устройств и систем, ослабляются допуски по уровню шумов и помех.

Возрастает громоздкость информационных сообщений
(в шкале пространственных доменов иди ячеек носителей, во временной шкале, занимаемой сообщением), поскольку каждый интервал с определенным дискретным уровнем может содержит мало информации и для передачи нужной информации необходимо больше таких интервалов. Снижается скорость передачи и возрастают требования к емкости носителей.
Представление об оптимальном количестве уровней N
опт в системах с дискретным набором информационных уровней сигнала весьма неоднозначен.
Формальное обоснование выбора N
опт требует оценки "стоимости" технической реализации систем с разным количеством уровней и многих других условий и критериев, учитывающих оценки указанных плюсов и минусов. В литературе встречаются мнения о значениях N
опт в диапазоне значений 3 – 4, хотя очевидно, что в общем случае определить его проблематично. Так или иначе, но на практике с учетом особенностей раннего этапа развития такой техники, особой роли

31 проблемы помехоустойчивости и ряда других обстоятельств, наибольшее распространение получили системы дискретного представления информации, использующие ДВА информационных уровня (два знака алфавита*), которые будут далее обозначаться как U
0
и U
1
. Нетрудно понять, что это минимально возможное число различных дискретных уровней, т.е. в наибольшей мере реализуются указанные достоинства и недостатки, соответствующие малому числу дискретных уровней сигнала.
Сигналы с дискретными информационными уровнями, в частности, с двумя такими уровнями, называют цифровыми, а электронные устройства, обрабатывающие такие сигналы, так же называют цифровыми (а также, иногда,
логическими). Эта терминология связана с тем, что одним из самых значимых стимулов исходного развития и внедрения подобных электронных систем была возможность передачи и обработки числовой информации, представленной цифрами, и реализация электронных вычислений на основе цифровых расчетных алгоритмов. Однако при этом цифровые электронные устройства и системы широко применяются для преобразования самых разнообразных данных дискретного характера (текст, коды управления, сигналы срабатывания датчиков и т.д. и т.п.), не имеющих прямого отношения к числовой информации и к реализации вычислений.
! Т.к. используются два информационных уровня, то для представления числовой информации используется двоичная система счисления.
! При идеализированном рассмотрении цифровые сигналы имеют вид прямоугольных импульсов (переходы между уровнями мгновенны), что иллюстрирует верхняя часть следующего рисунка. Реальные сигналы, как иллюстрирует нижняя зависимость на рисунке, допускают отклонения от номинальных уровней, а также неизбежно наличие шумов, конечное время переходов между уровнями и т.п. отклонения от идеальных импульсов, что необходимо учитывать при рассмотрении реальных сигналов и систем.
t
u(t)
t
u(t)
U
0
U
1
U
0
U
1

32
Преимущества и проблемы цифровой электроники
Отметим кратко основные достоинства цифровых систем представления и преобразования информации:
• В цифровых сигналах и системах дискретные информационные уровни и их изменение отражают / представляют только "полезную" информацию.
• Если искажения формы цифрового сигнала не слишком велики (сохраняется возможность четкого различения информационных уровней), то информация НЕ
ТЕРЯЕТСЯ и даже НЕ ИСКАЖАЕТСЯ.
• Если в процессе обработки/передачи искажение (зашумление) сигнала стало близким к критическому, когда может происходить потеря возможности надежно различать уровни, но такая возможность еще есть, то можно полностью восстановить исходное качество сигнала (в информационном смысле) и продолжить передачу и обработку. Действительно, пусть как иллюстрирует рисунок, исходный сигнал (верхний график) несет дискретную информацию
(обозначенную, как последовательность нулей и единиц) в виде уровней U
0
или U
1
на соответствующей последовательности элементарных временных интервалов.
Если сигнал искажен, то напряжения уже не так строго соответствуют номинальным значениям не совсем постоянны на элементарном временном интервале (средний график). Однако если возможно четко различить соответствие сигнала исходному уровню U
0
и U
1
, то можно создать устройство, которое будет анализировать смещенный и искаженный уровень и формировать на выходе номинальные уровни напряжений (нижний график). В итоге получается сигнал идентичный (во всяком случае в плане представления информации) исходному.
Использование устройств, выполняющих такие операции т.н. "регенерации" или "ретрансляции" могут полностью нейтрализовать искажения цифровой информации в процессе передачи, а также записи/воспроизведения. Однако система ретрансляции должна быть организована так, что бы не допускать
t
t
U
0
U
1
U
0
U
1
0 0 1
1 0 0 1
1 1
1
1
0 0
0
0 0 1
1 0 0 0
0 0 1
1 0 0 1
1
1
1
1
0 0
0
0 0 1
1 0 0 0
t
U
0
U
1
0 0 1
1 0 0 1
1 1
1
1
0 0
0
0 0 1
1 0 0 0

33 слишком больших искажений, мешающих различить исходные уровни сигнала в элементарном интервале. В аналоговой электронике такое устранение искажений
(тем более если характер искажений априори не известен) и восстановление исходного сигнала в общем случае невозможно.
• В случае цифрового представления исходно аналоговых сигналов сложные типы преобразований аналоговых сигналов реализуются через математически эквивалентные алгоритмы расчетных преобразований. При этом возможна реализации произвольных "вычислительных" алгоритмов обработки сигналов в соответствии с разнообразными, в т.ч. очень сложными математическими операторами, которые проблематично или даже невозможно реализовать на аналоговых устройствах обработки.
Безусловно достоинства цифровых технологий не могут не сопровождаться обстоятельствами, которыми приходится их "оплатить". К основным факторам
платы за преимущества цифровых систем следует отнести следующие:
• При прочих равных при работе с цифровыми сигналами требуемая повышенная полоса пропускания канала передачи (скорость передачи) или емкость носителей информации.
• Для многих преобразований над исходно аналоговыми данными (особенно на ранних этапах развития ЦЭУ) техническая реализация цифровых методов оказывалась, безусловно, сложнее аналоговых технологий.
В целом
Успешное развитие привело к снижению негативного влияния факторов сложности: ↑ применения → ↑ массовости производства → ↓ стоимости →
↑ доступности → ↑ применения → ↑ массовости производства …
Успехи развития цифровой техники обусловили повсеместное использование цифровой формы для информации, которая как в исходном виде, так и в конечной форме является аналоговой (например, речевая или музыкальная информация).
При этом аналоговый сигнал преобразуется в цифровой сигнал посредством
АЦП), а далее хранение, передача, обработка реализуются в цифровой форме. И только если "потребителю" необходимо непосредственное использование/отображение информации в аналоговом виде, цифровой сигнал преобразуется в аналоговый посредством соответствующих устройств (ЦАП).
Как пояснялось ранее, повышенные требования к полосе пропускания
(скорости передачи) канала и к емкости носителей в существенной мере связаны с тем, что цифровые технологии используют минимально возможное число дискретных уровней, а именно два уровня. Поэтому сложности и ограничения, связанные с указанными выше проблемами такого выбора, заставляют разработчиков думать о возможности применения большего количества информационных уровней дискретных сигналов. Несмотря на значительный рост объемов различных данных, которые необходимо сохранять и обрабатывать, проблема емкости носителей информации не стала ключевой проблемой, тормозящей развитие цифровой техники. Развитие технологий сохранения данных
(от исходных технологий на основе принципов остаточной намагниченности

34 доменов на поверхности носителя, до современных технологий т.н. оптических дисков и технологий flash-памяти) привел к огромному росту емкости носителей и скорости записи/воспроизведения, что в целом в существенной мере обеспечивает потребности потребителей. Более критичным оказалась проблема скорости передачи данных в проводных и в беспроводных каналах связи. Стремительное расширение информационных технологий опирается на все более массовый обмен большими объемами данных в сетях связи и ключевым ограничением становятся недостаточно высокие скорости передачи данных. И именно в этой части цифровых систем стали применять системы дискретной информации с числом уровней больше двух. Для создания современных каналов передачи разрабатываются и внедряются сложные форматы с несколькими дискретными уровнями. Например, в перспективных форматах передачи данных используются комбинации нескольких дискретных уровней амплитуды и фазы несущие частоты.
В подобных случаях в передающих и приемных блоках необходимы устройства, которые преобразуют двухуровневый формат цифровых данных в многоуровневый и наоборот. Однако основные системы, которые обрабатывают информацию остаются двухуровневыми.
В общем случае электронные устройства могут представлять собой комбинацию аналоговой и цифровой электроники. Так же, как и сигналы могут сочетать в себе аналоговые и цифровые принципы представления информации.
При этом представление о цифровом сигнале, вообще говоря, неправильно представить только как альтернативу аналоговому сигналу. Целесообразная трактовка зависит от рассматриваемой проблемы. Цифровой сигнал всегда можно интерпретировать как аналоговый сигнал определенной формы (прямоугольные импульсы, идеальные или реальные). В ряде случаев такая трактовка может быть предпочтительна или необходима (например, рассмотрение искажений при прохождении трактов передачи и частотно зависимых цепей, рассмотрение срабатывания "решающих схем" и т.д.).
Для случая представления аналоговых сигналов в цифровом виде, в теории сигналов развиты специальные разделы, рассматривающие специфику такого случая как часть общего теоретического описания характеристик сигналов и их преобразований.
В схемотехнике цифровых электронных устройств рассматривается не просто некоторый двухуровневый сигнал (прямоугольной формы), а сигнал, уровни которого несут определенную информацию, и основным является вопрос о том, как
реализовать требуемые преобразования такой информации. Т.е. надо организовать устройство так, чтобы уровни сигнала на входе устройства преобразовывались в уровни выходного сигнала по требуемым, зачастую достаточно сложным правилам (законам). В общем случае следует говорить о законах соответствия последовательностей информационных уровней на входе и выходе, чтобы учесть влияние предшествующих значений входных сигналов.
Электронное устройство и его составляющие. Схемотехника
Для создания электронных устройств используются электронные компоненты/элементы, радиодетали, электронные приборы. Это промышленно производимые законченные устройства с контактными выводами/площадками для

35 электрического соединения друг с другом и образования электронной схемы, которые формируют заданные связи (преобразования) напряжений (и/или токов).
Типичными примерами таких элементов являются резисторы, конденсаторы, катушки индуктивности (связанные), диоды, транзисторы, и многие другие.
Отдельно следует рассматривать микросборки и микросхемы.
! Бескорпусные элементы.
Одним из ключевых вариантов описания того, как электронное устройство собрано из набора отдельно изготовленных радиокомпонентов, является его принципиальная электрическая схема (или просто принципиальная схема).
Принципиальная схема электронного устройства – чертеж, на котором с помощью условных обозначений изображены стандартные электронные приборы и компоненты, входящие в состав устройства и соединения между ними.
Принципиальные схемы могут быть весьма разными по детальности информации об используемых элементах, от достаточно точных схем, на которых указаны все номиналы элементов, их конкретный тип, модель и т.п.. В тоже время могут быть достаточно условные принципиальные схемы, отображающие только общее обозначение элементов и позволяющие понять только общий характер работы устройства.

36
! Основная парадигма описания электронных устройств на основе принципиальной схемы:
Сложное специализированное устройство (сложное, нужное для конкретных частных целей) рассматривается как построение из элементарных деталей
(простые, унифицированных типов, промышленно производимые, по отдельности фактически ненужные).
Здесь границы классов (сложные / элементарные) конечно же условны и могут трактоваться в зависимости от рассматриваемой задачи.
В контексте вопросов о принципиальных схемах электронных устройств можно отметить две классических проблемы:
Синтез схем: известно, что должно делать электронное устройство – надо сформировать схему, которая это обеспечит.
Анализ схем: известна схема электронного устройства – нужно понять, что оно делает с сигналами (как входные сигналы преобразуются в выходные, какие функции устройство выполняет) и другие особенности функционирования.
Для электронного устройства принципиальная электрическая схема один из главных вариантов его описания. Если есть схема устройства, то можно не только проанализировать какие-то требуемые вопросы о его работе. Можно воспроизвести
(изготовить) аналогичное устройство, найти и устранить возможные неисправности, понять возможности стыковки с другими устройствами (если они недостаточно регламентированы в других описаниях) и т.д.
Схемотехникой называют совокупность принципов и методов синтеза, анализа и реализации (воплощения в изделии) принципиальных схем радиоэлектронных устройств.
Компетенции в схемотехнике обычно подразумевают достаточно широкий комплекс знаний и навыков, включающий, прежде всего:
• Знание о том, как сложные специализированные электронные устройства формируются из более простых и более унифицированных электронных
компонентов (деталей).

37
• Знание номенклатуры выпускаемых/продаваемых электронных элементов
(деталей), принципов их функционирования в электронных схемах, характерные каскадные схемы соединения элементов для требуемых преобразований токов и напряжений.
• Умение составлять принципиальную схему требуемого электронного устройства
(с обоснованным выбором элементов).
• Понимание как в соответствии с принципиальной схемой изготавливать электронное устройство.
• Понимание, как по принципиальной схеме электронного устройства можно разобраться в принципах и особенностях его функционирования.
• Понимание, как (с учетом принципиальной схемы) проводить настройку и отладку, проверять работоспособность электронных устройств, искать и устранять неисправности (не только найти и заменить непосредственно вышедшие из строя детали, но установить причины), вносить изменения, и т.д.
Схемотехника как – часть "электроники".
Вопросы схемотехники следует отнести к области электроники и ни тесно связаны с целым рядом других разделов этой области. В зарубежной литературе вообще редко используют понятие схемотехники и зачастую говорят об электронике. И действительно, схемотехника занимает некоторое связующее место в электронике соотносясь с большинством разделов электроники, в том числе тех, которые по отдельности не очень связаны друг с лругом.
ЭЛЕКТРОНИКА
СХЕМОТЕХНИКА
устройство и
физические основы
функционирования
элементов (ЭП)
технология создания
(особенно ИМС)
Расчеты электрических
цепей, схем, систем

Функциональные
электронные узлы и
системы
Информация и сигналы,
распространение, ...

38
Микросхемы
Для современной схемотехники и особенно для цифровых устройств все более и более распространены промышленно выпускаемые элементы, представляющие собой т.н. микросхемы (интегральные микросхемы), которые также часто называют чип (chip) или микрочип.
В этом случае такое законченный элемент, содержит электронную схему большей или меньшей сложности из набора относительно большого количества относительно простых элементов (резисторы, диоды, транзисторы и т.п.), которые исходно изготавливаются не независимо, а
сразу в составе этой единой ИМС на единой подложке (в едином корпусе с системой выводов) с достижением максимальной миниатюрности.
Распространение элементов в формате ИМС получило и для аналоговых
(усилители разных назначений и свойств; модуляторы/демодуляторы; генераторы; стабилизаторы напряжения/тока; тюнеры и др.) и для цифровых электронных устройств. Причем в качестве стандартных унифицированных элементов для
цифровой электроники в современный период используются практически только законченные цифровые элементы в формате ИМС.
При рассмотрении интегральных микросхем имеет место двойственность данного для схемотехники:
• С одной стороны, ИМС – элемент схемотехники, один из радиоэлектронных элементов для построения ЭУ [пользователями ИМС].
• С другой стороны, ИМС – предмет для схемотехники, т.е. это электронное устройство, которое строится из элементарных элементов (внутренняя схемотехника ИМС) [разработчики ИМС].

39
Данный курс лекций предназначен для изучения базовых вопросов цифровой электроники, ознакомления с основами функционирования, описания, построения узлов цифровых электронных устройств, простейшими алгоритмами реализации электронных вычислений и обработки данных, примерной номенклатурой цифровых микросхем.
Указанные вопросы рассматриваются в четырех разделах курса
Раздел 1. Системы счисления и двоичная арифметика;
Раздел 2. Алгебра логики и логические схемы;
Раздел 3. Комбинационные цифровые электронные устройства;
Раздел 4. Последовательностные цифровые электронные устройства.

40
Литература
• Новиков Ю.В. Основы цифровой схемотехники. Базовые элементы и схемы.
Методы проектирования. – М.: Мир, 2001. – 379 с., ил.
• Лобанов В.И. Азбука разработчиков цифровых устройств. – М.: Горячая линия -
Телеком, 2001. – 192 с., ил.
• Лиокумович Л.Б., Сочава А.А. Введение в цифровую схемотехнику. Системы счисления и двоичная арифметика. Алгебра логики и логические схемы: Конспект лекций. СПб: Изд-во СПбГПУ, 2003г, 46 с., ил.
• Угрюмов Е.П. Цифровая схемотехника. – СПб.: БХВ-Петербург, 2004. – 528 с., ил.
• Бунтов В.Д., Макаров С.Б. Цифровые и микропроцессорные радиотехнические устройства. СПб.: Изд-во Политехнического ун-та, 2005.399 с.
• Бойт К. Цифровая схемотехника. – М.: Техносфера, 2007. – 472 с.
• Пономарев Ф.В. Дискретная математика для инженеров. Учебное пособие для вузов. – М.: Горячая линия – Телеком, 2009. – 320 с., ил.
• Букреев И.Н., Горячев В.И., Мансуров Б.М. Микроэлектронные схемы цифровых устройств. – М.: Техносфера, 2009. – 712 стр.
• Лехин С.Н. Схемотехника ЭВМ. – СПб.: БХВ-Петербург, 2010. – 672 с., ил.
• Берикашвилли В.Ш. Электроника и микроэлектроника: импульсная и цифровая электроника: учебное пособие для академического бакалавриата. – 2-е изд., испр. и доп. – М. : Издательство Юрайт, 2018. – 242 с.
____________
• В.Л. Шило. Популярные микросхемы КМОП: справочник. – М.: "Горячая линия –
Телеком", 2001. – 112 с., илл. – (Массовая радиобиблиотека; Вып.1246)

41
Раздел 1. Системы счисления и двоичная арифметика
Введение.
1.1. Позиционные системы счисления.
Запись числа в позиционных системах счисления; дополнение числа; прямой двоичный код.
1.2. Преобразование чисел из одной позиционной системы счисления в другую
Преобразование двоичных (и других) чисел в десятичные; преобразования из десятичной системы в двоичную (и другие); переводы в системах счисления с основаниями кратными по степени двойки.
1.3. Двоичная арифметика
Сложение двух (положительных) чисел; вычитание одного числа из другого; перемножение двух двоичных чисел; двоичные числа со знаком, прямой двоичный код со знаком; обратный код; сложение положительных и отрицательных чисел в обратном коде; дополнительный код; сложение положительных и отрицательных чисел в дополнительном коде.
1.4. Двоично-десятичные коды
Взвешенные ДД-коды, кодовый набор; код ДД8421 и другие; самодополняющиеся коды; невзвешенные коды; код с избытком 3, циклические коды, код Грея; коды с обнаружением ошибок; код с обнаружением одиночной ошибки, ДД8421 код с проверкой на четность, код "2 из 5". Расстояние между кодовыми наборами и минимальное кодовое расстояние; коды с исправлением ошибок; сложение в
ДД8421 коде.
1.5. Алфавитно-цифровые коды
1.6. Арифметика повышенной точности и арифметика с плавающей запятой
Арифметика с двойной (или более) точностью; представление чисел в системе с плавающей запятой, мантисса и порядок числа, "характеристика" и "дробь" машинного слова; примеры представления и оценки диапазона значений в случае
12-разрядного машинного слова; особенности сложения, деления и умножения, выравнивание порядков.
Раздел 2. Алгебра логики и логические схемы.
Введение.
2.1. Логические переменные и элементарные логические операции
Предмет ЛА; двоичные переменные и элементарные логические операции; ТИ; система обозначений;
2.2. Логические функции
Логические функции, наборы аргументов (булев вектор); число возможных наборов и ЛФ; детерминированные и недоопределенные ЛФ; вырожденные функции и фиктивные аргументы; функциональные базис; примеры ЛФ.
2.3. Логические выражения и формулы
Запись аналитических выражение в АЛ. Ключевые аналитические соотношения в
АЛ.
2.4. Представление логических функций логическими формулами
Вопрос о нахождении аналитического представления для произвольной ЛФ.
Понятия терма, контерма, макстерма, минтерма. Представление ЛФ в виде первой

42
(СДНФ) или второй (СКНФ) нормальной формы. Эквивалентные формулы
(неоднозначность представления ЛФ).
2.5. Логические схемы (диаграммы)
Элементы логической схемы, обозначение элементов элементарных ЛО; примеры; неоднозначность.
2.6. Минимизация логических функций. Карты Карно
Оптимизация формулы/схемы по числу операций и числа операндов. Построение карт Карно (для двух, трех и четырех аргументов) и их свойства. Построение минимизированных формул по КК с использованием закона "склеивания" по форме "сумма произведений" или "произведение сумм", примеры. Карты Карно для бо'льшего числа аргументов. Теорема разложения и ее использование для минимизации. Диаграмма Вейча.
2.7. Универсальные логические элементы
Функциональные базисы на основе элементарных операций. Универсальные логические элементы И-НЕ, ИЛИ-НЕ.
2.8. Элементы “исключающее ИЛИ”, “исключающее ИЛИ-НЕ”
2.9. Минимизация логических функций с усложненными критериями
Минимизация в базисе И-НЕ или в базисе ИЛИ-НЕ, примеры. Совместная минимизация ЛФ.
Раздел 3. Комбинационные цифровые электронные устройства
Введение: цифровой электронный элемент.
Комбинационные и последовательностные цифровые электронные устройства.
3.1. Входные и выходные сигналы в цифровых электронных устройствах.
Входные и выходные сигналы ЦЭ и описание их связи при идеализированном представлении цифрового сигнала. Уровни нуля и единицы, моменты фронта и среза. Реальные цифровые сигналы. Длительность фронта и среза, флуктуации
(шумы).
3.2. Реакция реального ЦЭ на идеальный входной сигнал
Параметры выходного сигнала при идеальном водном сигнала, характеризующие неидеальности цифрового электронного элемента. Диапазоны уровня нуля и единицы, границы рабочего диапазона и буферная зона. Длительность фронта и среза (время нарастания и спада), время задержки, минимальная длительность воспринимаемого входного импульса.
3.3. Срабатывание ЦЭ при реальном входном сигнале
Интерпретация уровня входного напряжения. Срабатывание по пересечению порогового напряжения, проблема "дребезга". Система с гистерезисом срабатывания, триггер Шмидта, согласование порогов срабатывания с диапазонами уровней выходного сигнала. Реакция по неподключенному входу.
Потенциальный и импульсный режимы (входы).
3.4. Графические обозначения в цифровой схемотехнике
Принципиальная схема цифрового электронного устройства. Обозначение цифровых элементов (поля, зоны, метки входов и выходов)
3.5. Основы "внутренней" схемотехники и технологические серии цифровых
микросхем
Краткие данные о биполяриных и полевых транзисторах, построение логических вентилей на основе транзисторных каскадов. Технологические серии микросхем.

43
Краткие сведения о ТТЛ, ЭСЛ и КМОП сериях. Особенности микросхем для работы с шинами данных. Проблема конкуренций (гонки, состязания).
3.6. Общие подходы к созданию ЦУ
Основные подходы к созданию цифрового электронного устройства на основе
ИМС. Альтернативы: создание специализированного чипа устройства или создание устройства на основе унифицированных чипов типовых элементов. Альтернатива микропроцессорных устройств или программируемых логических интегральных микросхем.
3.7. ИМС комбинационных ЛЭ и логических преобразователей
Типовая номенклатура ЛЭ в ИМС, характерные обозначения в отечественных сериях, комбинированные элементы.
3.8. Мультиплексоры
Мультиплексоры – селекторы, информационные и адресные входы, действие и строение мультиплексора. Мультиплексор как универсальный логический элемент.
Наращивание мультиплексоров.
3.9. Демультиплексоры
Демультиплексоры – распределители, входы и выходы, действие и строение.
Наращивание демультиплексоров. Особенность КМОП мультиплексоров- демультиплексоров.
3.10. Преобразователи кодов, шифрация и дешифрация
Преобразователи кодов, шифраторы и дешифраторы (кодеры и декодеры).
Преобразователи кодов с прямым двоичным кодом. Унитарный код и адресные сигналы.
3.11. Шифраторы и дешифраторы
Строение шифраторов и дешифраторов (унитарно-двоичных). Демультиплексор- дешифратор, как универсальный логический элемент.
3.12. Принципы технологий на основе ПЛИС
ПЛИС – программируемые логические интегральные схемы – общие принципы технологии. Идея технологии ПЛМ (начальный этап развития ПЛИС). Появление и развитие технологии: "ПЛИС, программируемые производителем", развитие и трансформация технологии, "ПЛИС, программируемые пользователем", структура современных
ПЛИС.
Физические принципы программирования связей
(однократного и многократного). Терминология и аббревиатуры.
Раздел 4. Последовательностные цифровые электронные устройства
4.1. Общие принципы функционирования и строения последовательностного
цифрового электронного устройства
Дискретизация интервалов времени (такты работы) и учет предистории. Задачи, выполняемые последовательностным устройством (автоматом с памятью) на каждом такте. Структура последовательностного устройства с обратными связями и ячейками памяти, внутренние переменные. Математические основы описания последоваетльностных устройств (теория графов, теория алгоритмов, теория автоматов). Состояние конечного автомата, эквивалентные автоматы, выбор числа состояний и внутренних переменных.

44
4.2. Некоторые типы конечных автоматов
Элементарный автомат, автомат Мили, Автомат Мура, порождающий автомат, распознающий автомат, комбинационный автомат. другие объекты теории автоматов.
4.3 Описание работы автомата
Таблицы переходов и граф переходов, примеры. Кодирование входной и выходной информации, кодировка состояний.
4.4 Тактируемые и асинхронные последовательностные цифровые устройства.
Тактирование и возможность его отсутствия асинхронные автоматы. Устойчивые и неустойчивые переходы, пример асинхронного устройства. Проблемы, связанные с неопределенностью в интерпретации смены тактов; проблемы связанные с гонками
(состязаниями/конкуренцией) в переключении внутренних переменных и входных сигналов; возможности решения проблем.
4.5 Общие принципы тактирования синхронных ПЦЭУ.
Синхроимпульсы, прием входной информации и фиксация внутренних переменных.
Импульсный тактовый вход.
Принцип "потенциальной синхронизации, синхронизация по фронту или срезу тактового импульса, двухступенчатая синхронизация, двухфазная (многофазная) синхронизация.
4.6 Триггеры. Основные типы и управление состоянием.
Понятие триггера в схемотехнике цифровых электронных устройств. Триггеры D- и T-типа, JK и RS триггеры (обозначения, принципы функционирования, таблицы переходов, особенности).
4.7 Синтез последовательностных цифровых электронных устройств на
основе триггеров.
Таблицы (функции) возбуждения триггеров. Синтез одного триггера одного типа на основе триггера другого типа. Синтез произвольного устройства с одной внутренней переменной на основе триггера. Синтез произвольное устройства на основе набора триггеров.
4.9 Построение схем тактируемых триггеров.
Бистабильная ячейка на логических элементах, схема асинхронного RS-триггера.
Триггеры с "потенциальной" синхронизацией RS и D типа (режим прозрачности, режим защелкивания. Схемы с блокировкой входов после фронта тактового импульса. Схемы с MS-структурой (Master-Slave).
4.10 Счетчики.
Идеология работы, обозначения, что подсчитывают счетчики и как отображается результат счета; двоичные и двоично-десятичные счетчики; суммирующие, вычитающие и реверсивные счетчики. Простейшие схемы двоичных счетчиков: последовательная схема с непосредственной связью (асинхронная), с трактом последовательного переноса. Построение двоичных счетчиков на принципах тактируемых последовательностных цифровых электронных устройств. Структуры реверсивных счетчиков.
4.11 Регистры.
Идеология работы, обозначения. Последовательные и параллельные регистры, базовые схемы организации регистров на основе триггеров.


написать администратору сайта