Главная страница
Навигация по странице:

  • Проектирование суммирующего счетчика с коэффици

  • Проектирование генератора импульсов заданной формы.

  • Микроэлектроника_МУ по изуч.дисц. Методические указания по изучению дисцип лины. Томск Факультет дистанционного обучения, тусур, 2012. 86 с. Представлены рекомендации по самостоятельному изучению теоре тического материала, выполнению контрольных и лабораторных работ


    Скачать 1.22 Mb.
    НазваниеМетодические указания по изучению дисцип лины. Томск Факультет дистанционного обучения, тусур, 2012. 86 с. Представлены рекомендации по самостоятельному изучению теоре тического материала, выполнению контрольных и лабораторных работ
    Дата22.05.2023
    Размер1.22 Mb.
    Формат файлаpdf
    Имя файлаМикроэлектроника_МУ по изуч.дисц.pdf
    ТипМетодические указания
    #1149436
    страница5 из 7
    1   2   3   4   5   6   7
    DD 1 -
    К
    555
    ИД
    7
    DD 2 -
    К
    555
    ЛА
    1
    Рис
    . 2.33 —
    Комбинационная схема
    , реализующая булеву функцию
    C
    B
    A
    f


    =
    с использованием дешифратора
    К
    555
    ИД
    7
    Для разрешения работы дешифратора на его прямой вход разрешения следует подать напряжение уровня логической еди- ницы, а на инверсные входы разрешения — напряжения уровней логического нуля. С этой целью прямой вход дешифратора под- ключен к источнику напряжения +5 В через резистор сопротив- лением 1 кОм, а инверсные входы разрешения заземлены.

    49
    Проектирование суммирующего счетчика с коэффици-
    ентом пересчета 290 с обеспечением индикации состояния на
    цифро-буквенных индикаторах.
    В связи с тем, что счетчик должен обеспечивать индикацию состояний, его целесообразно строить на микросхемах счетчиков с коэффициентом пересчета 10. Для реализации коэфициента пе- ресчета, задаваемого трехзначным десятичным числом, необхо- димо использовать три микросхемы десятичных счетчиков, со- единенных каскадно. В качестве десятичных счетчиков выберем микросхемы ТТЛШ К555ИЕ6. Для обеспечения суммирующего счета необходимо выход переноса « 9
    ≥ » предыдущего счетчика соединить со счетным суммирующим входом «+1» последующе- го счетчика, на счетные вычитающие входы «–1» всех счетчиков подать уровень логической единицы, а входные тактовые им- пульсы подавать на счетный суммирующий вход «+1» счетчика младшего разряда. Для блокирования возможности предустанов- ки на входы разрешения записи «
    V
    » всех счетчиков следует по- дать уровень логической единицы. Неиспользуемые информаци- онные входы
    D
    0 —
    D
    3 счетчиков целесообразно заземлить. Сум- мирующий счетчик с коэффициентом пересчета 290 должен об- нуляться при попытке перехода из 289-го состояния в 290. Это обеспечивается подачей на входы сброса счетчиков «
    R
    » сигнала логического нуля с выхода логического элемента «4И-НЕ» (мик- росхема
    DD
    1.1).
    Индикацию состояний счетчика организуем на цифро- буквенных индикаторах АЛС324А с использованием специали- зированных микросхем управления цифро-буквенными индика- торами К514ИД1.
    Схема электрическая принципиальная суммирующего счет- чика с коэффициентом пересчета 290, обеспечивающего индика- цию состояний, представлена на рис. 2.34.

    50
    +1
    -1
    D1
    D3
    D2
    D0
    CT10 9

    0

    Q0
    Q1
    Q2
    Q3 5
    4 15 1
    10 9
    11 14 3
    2 6
    7 13 12
    +1
    -1
    D1
    D3
    D2
    D0
    CT10 9

    0

    Q0
    Q1
    Q2
    Q3 5
    4 15 1
    10 9
    11 14 3
    2 6
    7 13 12
    +1
    -1
    D1
    D3
    D2
    D0
    CT10 9

    0

    Q0
    Q1
    Q2
    Q3 5
    4 15 1
    10 9
    11 14 3
    2 6
    7 13 12 1
    2 4
    8
    DC
    A
    B
    C
    D
    E
    F
    G
    A
    B
    C
    D
    E
    F
    G
    7 1
    2 6
    4 13 12 11 10 9
    15 14 14 13 8
    7 6
    1 2
    4 12
    DD5
    HG1 1
    2 4
    8
    DC
    A
    B
    C
    D
    E
    F
    G
    A
    B
    C
    D
    E
    F
    G
    7 1
    2 6
    4 13 12 11 10 9
    15 14 14 13 8
    7 6
    1 2
    4 12
    DD6
    HG2 1
    2 4
    8
    DC
    A
    B
    C
    D
    E
    F
    G
    A
    B
    C
    D
    E
    F
    G
    7 1
    2 6
    4 13 12 11 10 9
    15 14 14 13 8
    7 6
    1 2
    4 12
    DD7
    HG3
    Вход
    +5
    В
    1
    к
    &
    1 2
    4 5
    6
    DD2
    DD3
    DD4
    DD1.1
    H
    H
    H
    DD2 - DD4 -
    К
    555
    ИЕ
    6 (
    вывод
    8 заземлить
    , вывод
    16 к
    источнику
    +5
    В
    )
    DD1 -
    К
    555
    ЛА
    1 (
    вывод
    7 заземлить
    , вывод
    14 к
    источнику
    +5
    В
    )
    HG1 - HG3 -
    АЛС
    324
    А
    DD5 - DD7 -
    К
    514
    ИД
    1 (
    вывод
    8 заземлить
    , вывод
    16 к
    источнику
    +5
    В
    )
    V
    V
    V
    R
    R
    R
    E
    E
    E
    Рис
    . 2.34 —
    Схема электрическая принципиальная суммирующего счетчика с
    коэффициентом пересчета
    290

    51
    Проектирование генератора импульсов заданной формы.
    f
    T
    Рис
    . 2.35 —
    Временные диаграммы генератора
    На периоде заданного сигнала можно выделить 16 тактовых интервалов, поэтому его однозначным математическим описани- ем является булева функция четырех переменных (рис. 2.36).
    D
    C
    B
    A
    f
    0 1
    2 3
    4 5
    6 7
    8 9
    10 11 12 13 14 15
    номера наборов аргументов булевой функции
    f(ABCD)
    Рис
    . 2.36 —
    Временные диаграммы булевой функции четырех переменных

    52
    Из временных диаграмм рис.2.36 следует, что сигналу за- данной формы соответствует булева функция:
    .
    )
    ,
    ,
    ,
    ,
    ,
    ,
    ,
    ,
    ,
    (
    )
    (
    D
    C
    AB
    D
    C
    AB
    CD
    B
    A
    D
    C
    B
    A
    BCD
    A
    D
    C
    B
    A
    D
    C
    B
    A
    D
    C
    B
    A
    D
    C
    B
    A
    D
    C
    B
    A
    f
    ABCD
    f
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    =
    =
    =
    13 12 11 10 7
    5 4
    2 1
    0
    Все возможные наборы четырех булевых переменных мож- но сформировать на выходах четырехразрядного двоичного счет- чика, так как его коэффициент пересчета, равный 16, совпадает с числом всех возможных наборов переменных. В качестве четы- рехразрядного двоичного счетчика будем использовать микро- схему К555ИЕ5. В составе микросхемы К555ИЕ5 реализованы счетный триггер и двоичный счетчик с коэффициентом пересчета
    8. Объединяя выход триггера «
    Q
    0» со счетным входом «С2», по- лучаем счетчик с коэффициентом пересчета 16. Временным диа- граммам рис. 2.36 отвечает следующее соответствие булевых пе- ременных и выходов счетчика:
    Q
    0=
    D
    ,
    Q
    1=
    C
    ,
    Q
    2=
    B
    ,
    Q
    3=
    A
    Логическую функцию четырех переменных реализуем на восьмиканальном мультиплексоре К555КП7. Мультиплексор
    К555КП7 имеет инверсный вход разрешения, прямой и инверс- ный выходы. Сигналы В
    ,
    С
    , D
    будем подавать на адресные входы мультиплексора, а сигнал А будем использовать как настроеч- ный.
    Выражение булевой функции, реализуемой мультиплесором на прямом выходе, имеет вид:
    .
    ,
    )
    (
    7 6
    5 4
    3 2
    1 0
    пр
    BCDx
    x
    D
    BC
    Dx
    C
    B
    x
    D
    C
    B
    CDx
    B
    x
    D
    C
    B
    Dx
    C
    B
    x
    D
    C
    B
    E
    f
    MS
    +
    +
    +
    +
    +
    +
    +
    +
    =
    Сравнивая преобразованное выражение реализуемой буле- вой функции с выражением пр
    .
    MS
    f
    , определяем, что на информа- ционные входы мультиплексора необходимо подать сигналы:
    A
    x
    x
    x
    =
    =
    =
    7 1
    0
    ,
    1 5
    4 2
    =
    +
    =
    =
    =
    A
    A
    x
    x
    x
    ,
    A
    x
    =
    3
    ,
    0 6
    =
    x
    Инверсное значение переменной А сформируем с помощью микросхемы К555ЛН1 (шесть логических элементов НЕ).
    Схема электрическая принципиальная генератора импульсов заданной формы представлена на рис. 2.37.

    53 1
    СТ
    2
    С
    1
    С
    2
    &R
    Q0
    Q1
    Q2
    Q3
    MS
    0 1
    2 3
    4 7
    6 5
    DI
    2 1
    0

    A
    DO
    f
    Вход
    +5
    В
    1
    к
    DD1
    DD2.1
    DD3
    14 1
    2 3
    12 9
    8 11 7
    4 3
    2 1
    15 14 13 12 11 10 9
    1 2
    5
    DD2 -
    К
    555
    ЛН
    1 (
    вывод
    7 заземлить
    , вывод
    14 к
    источнику
    +5
    В
    )
    DD1 -
    К
    555
    ИЕ
    5 (
    вывод
    10 заземлить
    , вывод
    5 к
    источнику
    +5
    В
    )
    DD3 -
    К
    555
    ИД
    7 (
    вывод
    8 заземлить
    , вывод
    16 к
    источнику
    +5
    В
    )
    E
    Рис. 2.37 — Схема электрическая принципиальная генератора импульсов заданной формы

    54
    3
    МЕТОДИЧЕСКИЕ
    УКАЗАНИЯ
    ПО
    ВЫПОЛНЕНИЮ
    ЛАБОРАТОРНЫХ
    РАБОТ
    Рабочая программа по дисциплине предполагает выполне- ние двух виртуальных лабораторных работ. Лабораторные рабо- ты выполняются с использованием компьютерной системы моде- лирования электронных схем ASIMEC.
    Для выполнения лабораторных работ к конфигурации ком- пьютерной техники предъявляются следующие системные требо- вания:

    минимальные: процессор Pentium 2 — 333MHz, ОЗУ 32
    MB, видеокарта с 8 MB памяти, 10 MB свободного места на
    HDD, операционная система Windows98\2000\XP, монитор диа- гональю 15 дюймов с разрешением 1024х768 или 800х600;

    рекомендуемые: процессор Pentium 3 — 500 MHz, ОЗУ
    128 MB, видеокарта с 32 MB памяти, 10 MB свободного места на
    HDD, операционная система Windows98\2000\XP, монитор диа- гональю 17 дюймов с разрешением 1024х768.
    Лабораторная работа № 1 предусматривает проектирование и экспериментальную проверку работы синхронного счетчика с заданной последовательностью смены состояний.
    Лабораторная работа № 2 предусматривает эксперименталь- ное исследование усилителей и преобразователей сигналов на операционных усилителях.
    Выбор варианта лабораторных работ осуществляется по общим правилам с использованием следующей формулы:
    V = (N*k) div 100, где V — искомый номер варианта (при V = 0 выбирается макси- мальный вариант),
    N — общее количество вариантов по контрольной работе,
    k — значение двух последних цифр пароля (число в диапа- зоне 0…99), div — целочисленное деление (дробная часть отбрасывает- ся).
    Перечень вариантов для выполнения лабораторных работ представлен в Приложении Б.
    Описание компьютерной системы моделирования приведе- но в виртуальной среде ASIMEC.

    55
    3.1
    Лабораторная
    работа

    1.
    Синхронный
    счетчик
    с
    заданной
    последовательностью
    смены
    состояний
    Цель лабораторной работы — проектирование и экспери- ментальная проверка работы синхронного счетчика с произволь- ной последовательностью смены состояний.
    Задание. Спроектировать счетчик, состояния которого изме- няются в цикле в следующей последовательности: 0 — 6 — 4 —
    14 — 2 — 5 — 3 — 7 — 8.
    Синтез счетчика. В структуру счетчика должен входить блок элементов памяти и комбинационная схема переходов. В качестве элементов памяти можно использовать синхронные
    D-
    триггеры с динамическими тактовыми входами. Количество триггеров определяется выражением
    [
    ]
    1
    log max
    2
    +
    =
    Q
    n
    , где max
    Q
    — десятичный код максимального состояния счетчика в цикле. Для проектируемого счетчика
    14
    max
    =
    Q
    , поэтому блок элементов па- мяти должен содержать
    [
    ]
    [ ]
    4 1
    3 1
    8 3
    1 14
    log
    2
    =
    +
    =
    +
    =
    +
    =
    ,
    n
    триггера.
    Поскольку счетчик синхронный, входы синхронизации всех триг- геров объединяются и используются как счетный вход счетчика.
    Состояние счетчика определяется двоичным кодом, зафиксиро- ванным на его триггерах.
    Комбинационная схема переходов представляет собой ком- бинационное цифровое устройство, имеющее
    [
    ]
    1
    log max
    2
    +
    =
    Q
    n
    входов и
    [
    ]
    1
    log max
    2
    +
    =
    Q
    n
    выходов. На входы схемы подаются сигналы с прямых выходов
    D-
    триггеров. Сигналы с выходов схе- мы переходов воздействуют на информационные входы
    D-
    триггеров. Таким образом, на каждом выходе схемы переходов реализуется булева функция от
    [
    ]
    1
    log max
    2
    +
    =
    Q
    n
    аргументов:
    )
    (
    1
    Q
    Q
    f
    D
    n
    i
    i
    ,
    ,

    =
    ,
    n
    i
    ,
    1
    =
    . Таблицы истинности этих функций опре- деляются таблицей переходов счетчика.

    56
    Таблица
    3.1 —
    Таблица переходов счетчика с
    последовательностью смены состояний
    0 — 6 — 4 — 14 — 2 — 5 — 3 — 7 — 8
    Текущее состояние счетчика
    Последующее состояние счетчика
    t
    Q
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    1
    +
    t
    Q
    4
    D
    3
    D
    2
    D
    1
    D
    0 0 0 0 0 6 0 1 1 0 6 0 1 1 0 4 0 1 0 0 4 0 1 0 0 14 1 1 1 0 14 1 1 1 0 2 0 0 1 0 2 0 0 1 0 5 0 1 0 1 5 0 1 0 1 3 0 0 1 1 3 0 0 1 1 7 0 1 1 1 7 0 1 1 1 8 1 0 0 0 8 1 0 0 0 0 0 0 0 0
    На основании таблицы переходов формируется совмещен- ная таблица истинности функций
    )
    (
    1 2
    3 4
    4 4
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    3 3
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    2 2
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    1 1
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    :
    Таблица
    3.2 —
    Совмещенная таблица истинности функций
    1 2
    3 4
    D
    D
    D
    D
    ,
    ,
    ,
    Номер набора
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    4
    D
    3
    D
    2
    D
    1
    D
    0 0 0 0 0 0 1 1 0 1 0 0 0 1 x x x x
    2 0 0 1 0 0 1 0 1 3 0 0 1 1 0 1 1 1 4 0 1 0 0 1 1 1 0 5 0 1 0 1 0 0 1 1 6 0 1 1 0 0 1 0 0 7 0 1 1 1 1 0 0 0 8 1 0 0 0 0 0 0 0 9 1 0 0 1 x x x x
    10 1 0 1 0 x x x x
    11 1 0 1 1 x x x x
    12 1 1 0 0 x x x x
    13 1 1 0 1 x x x x
    14 1 1 1 0 0 0 1 0 15 1 1 1 1 x x x x

    57
    Для минимизации булевых функций
    )
    (
    1 2
    3 4
    4 4
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    3 3
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    2 2
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    ,
    )
    (
    1 2
    3 4
    1 1
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    сформируем карты Карно следующей структуры:
    2 1
    9 5
    3 7
    0 4
    6 10 12 11 15 13 14 8
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    Рис
    . 3.1 —
    Карта
    Карно функции четырех переменных с
    номерами логических наборов аргументов
    Этап минимизации булевых функций по картам Карно от- ражают рис. 3.2—3.5.
    0
    x x
    0 0
    1 0
    1 0
    x x
    x x
    x
    0 0
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    1 2
    3
    Q
    Q
    Q
    1 2
    3
    Q
    Q
    Q
    Рис
    . 3.2 —
    Карта
    Карно функции
    )
    (
    1 2
    3 4
    4 4
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =

    58 1
    x x
    0 1
    0 1
    1 1
    x x
    x x
    x
    0 0
    4
    Q
    3
    Q
    1
    Q
    2
    Q
    1 4
    Q
    Q
    1 3
    Q
    Q
    Рис
    . 3.3 —
    Карта
    Карно функции
    )
    (
    1 2
    3 4
    3 3
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    2 4
    Q
    Q
    3 4
    Q
    Q
    1 3
    Q
    Q
    Рис
    . 3.4 —
    Карта
    Карно функции
    )
    (
    1 2
    3 4
    2 2
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =
    1
    x x
    1 1
    0 0
    0 0
    x x
    x x
    x
    0 0
    4
    Q
    3
    Q
    2
    Q
    1
    Q
    2 3
    Q
    Q
    1 2
    Q
    Q
    Рис
    . 3.5 —
    Карта
    Карно функции
    )
    (
    1 2
    3 4
    1 1
    Q
    Q
    Q
    Q
    f
    D
    ,
    ,
    ,
    =

    59
    Минимизированные выражения булевых функций имеют вид:
    =
    4
    D
    )
    (
    1 2
    3 1
    2 3
    1 2
    3
    Q
    Q
    Q
    Q
    Q
    Q
    Q
    Q
    Q

    =
    +
    ,
    1 3
    1 4
    3
    Q
    Q
    Q
    Q
    D
    +
    =
    ,
    1 3
    3 4
    2 4
    2
    Q
    Q
    Q
    Q
    Q
    Q
    D
    +
    +
    =
    ,
    1 2
    2 3
    1
    Q
    Q
    Q
    Q
    D
    +
    =
    Для проверки правильности функционирования счетчика необходимо сигналы с прямых выходов
    D-
    триггеров подать на семисегментный индикатор, соблюдая соответствие весов разря- дов выходов счетчика и входов индикатора. Такая проверка про- изводится в режиме моделирования схемы (режим инициируется кнопкой «Запустить моделирование»).
    Кроме того, следует получить осциллограммы выходных сигналов счетчика. Подтверждение правильности работы требует построения четырех синхронизированных временных диаграмм.
    Однако среда программы ASIMEC допускает использование только одного двухлучевого осциллографа. По этой причине сформируем сигнал, уровень которого в соответствующих такто- вых интервалах пропорционален двоичному коду на выходе счетчика. Для формирования такого сигнала дополним схему счетчика цифро-аналоговым преобразователем (ЦАП), выпол- ненном на операционном усилителе (рис. 3.6). вых
    U
    1
    Q
    U
    2
    Q
    U
    3
    Q
    U
    4
    Q
    U
    Рис
    . 3.6 —
    Цифроаналоговый преобразователь

    60
    Выходное напряжение ЦАП определяется выражением:
    Q
    R
    U
    R
    Q
    R
    U
    R
    U
    R
    R
    U
    Q
    k
    k
    k
    Q
    k
    Q
    k
    k
    k
    8 2
    8 2
    8
    ос
    4 1
    1
    ос
    4 1
    1
    ос вых

    =


    =


    =


    =

    =

    , где
    k
    Q
    — двоичная цифра
    k
    -го разряда выходного кода счетчика;
    1 2
    3 4
    1 2
    4 8
    Q
    Q
    Q
    Q
    Q

    +

    +

    +

    =
    десятичный номер состояния счетчика;
    Q
    U
    — амплитуда сигналов на выходах
    D
    -триггеров.
    С целью обеспечения равенства
    Q
    U
    =
    вых необходимо вы- полнение условия
    1 8
    ос
    =
    R
    U
    R
    Q
    , откуда
    R
    R
    R
    U
    R
    Q
    6 1
    5 8
    8
    ос
    ,
    =
    =
    =
    . Поло- жим
    10
    =
    R
    кОм, тогда
    16
    ос
    =
    R
    кОм. Для обеспечения положи- тельной полярности напряжения на осциллограмме необходимо выходной сигнал ЦАП подать на общий вход осциллографа, а сигнальный вход осциллографа заземлить.
    На счетный вход счетчика подадим сигнал с генератора им- пульсов. В редакторе свойств (открывается путем двойного клика мыши на графическом обозначении генератора), в разделе «Па- раметры» рекомендуется установить следующие значения: ll = 0; hl = 5; ic = 0; td = 0; tr = 0; tf = 0; pw = 0,5; per = 1 (рис. 3.7).
    Рис. 3.7 — Параметры генератора импульсов
    Виртуальный макет синтезированного счетчика представлен на рис. 3.8.

    61
    Рис. 3.8 — Виртуальный макет синтезированного счетчика
    61

    62
    Экспериментальная проверка функционирования счетчика.
    Для выполнения моделирования в инспекторе объектов рекомен- дуется установить следующие параметры (рис. 3.9).
    Рис. 3.9 — Параметры моделирования счетчика
    Осциллограмма сигнала с выхода ЦАП представлена на рис.
    3.10. Осциллограмма подтверждает, что счетчик работает цикличе- ски с коэффициентом пересчета
    9
    сч
    =
    k
    , а последовательность сме- ны состояний счетчика 0 — 6 — 4 — 14 — 2 — 5 — 3 — 7 — 8.
    Содержание отчета. Отчет оформляется в формате
    MS
    Word
    и должен отражать последовательность проектирования, схему виртуального макета, осциллограмму выходного напряже- ния ЦАП, вставленные из виртуальной среды
    ASIMEC
    , и выводы по работе.

    63
    Рис. 3.10 — Осциллограмма сигнала с выхода ЦАП
    63

    64
    1   2   3   4   5   6   7


    написать администратору сайта