Главная страница
Навигация по странице:

  • 2.7 Условно-графические и буквенно-цифровые обозначения комби- национных логических схем

  • 3 Практикум "Триггерные устройства"

  • 3.1 Триггеры 3.1.1 Одноступенчатые триггеры

  • 3.1.2 Универсальные двухступенчатые триггеры

  • 3.2 Регистры

  • 3.2.1 Накапливающие регистры

  • 3.2.2 Сдвигающие регистры

  • Цифровая схемотехника (практикум). Учебное пособие предназначено для выполнения практикума по дис циплинам "Схемотехника электронных средств, "Схемотехника эвм, "Основы микроэлектроники для


    Скачать 0.86 Mb.
    НазваниеУчебное пособие предназначено для выполнения практикума по дис циплинам "Схемотехника электронных средств, "Схемотехника эвм, "Основы микроэлектроники для
    АнкорЦифровая схемотехника (практикум).pdf
    Дата22.03.2018
    Размер0.86 Mb.
    Формат файлаpdf
    Имя файлаЦифровая схемотехника (практикум).pdf
    ТипУчебное пособие
    #17023
    страница3 из 9
    1   2   3   4   5   6   7   8   9
    2.6 Содержание отчета
    В отчете должны быть приведены:
    - теоретический материал в объеме, достаточном для успешной защиты выполненной практикума;
    - разработанные схемы и экспериментальные таблицы функционирования микросхем;

    26
    - результаты исследования схем в виде таблиц, раскрывающих алгоритм работы микросхем;
    - выводы по практикуму.
    2.7 Условно-графические и буквенно-цифровые обозначения комби-
    национных логических схем
    Рисунок 2.9 - Условно - графические и буквенно - цифровые обозначения комбинационных схем

    27
    3 Практикум "Триггерные устройства"
    Практикум блока "Триггерные устройства" предназначен для изучения принципов построения, работы алгоритмов и методов анализа триггеров, счетчиков и регистров, выполненных по К-МОП и TTL технологиям.
    3.1 Триггеры
    3.1.1 Одноступенчатые триггеры
    Триггер - устройство с двумя устойчивыми состояниями, способное под воздействием внешнего управляющего сигнала осуществлять скачкообразный переход из одного устойчивого состояния в другое. Состояние триггера и значение хранимой двоичной информации определяются прямым и инверсным выходными сигналами.
    Принято считать, что если на прямом выходе имеется единичный сигнал, то триггер находится в единичном (включенном) состоянии. При этом сигнал на инверсном выходе нулевой. В противном случае триггер находится в нулевом состоянии.
    Триггеры классифицируются:
    - по способу синхронизации на асинхронные и синхронные;
    - по способу управления информацией различают триггеры со статическим, динамическим, одноступенчатым и многоступенчатым управлением;
    - по способу организации логических связей (функционирования) различают триггеры типа RS, D, JK, Т и др.
    В асинхронных триггерах изменения состояний происходят непосредственно при подаче сигналов на информационный вход (входы). В синхронных (тактируемых) триггерах состояния изменяются при подаче на специально выделенный управляющий вход синхронизирующих
    (тактирующих) сигналов после изменения значений сигналов на информационных входах.
    Приняты следующие обозначения:
    - R (от англ. Reset - сброс) - раздельный вход установки триггера в состояние
    0 (отключенное);
    - S (от англ. Set - установка) - раздельный вход установки триггера в состояние 1 (включенное);
    - К (от англ. Kill - внезапное отключение) - вход раздельной установки универсального триггера в состояние 0 (отключено);
    - J (от англ. Jerk -внезапное включение) - раздельный вход установки универсального триггера в состояние 1;
    - D (от англ. Delay - задержка) - информационный вход установки триггера в состояние, соответствующее логическому сигналу на этом входе (0 либо 1);
    - Т (от англ. Toggle - релаксатор) - счетный вход триггера;

    28
    - С (от англ. Clock - источник сигналов синхронизации) - исполнительный управляющий (синхронизирующий) вход.
    В вычислительной технике триггеры применяются в качестве элементов
    (ячеек) памяти в устройствах памяти, а также как базисные элементы построения различных узлов ЭВМ (счетчики, регистры и др.)
    В данном практикуме исследуются RS, D и JK-триггеры, построенные на базисных элементах И-НЕ.
    RS-триггер представляет собой устройство с двумя устойчивыми состояниями и двумя информационными входами R и S. Схема асинхронного
    (не синхронизируемого) RS-триггера на базисных элементах И-НЕ приведена на рисунке 3.1.
    Рисунок 3.1-Триггер RS-типа
    Триггер образован из двух логических элементов 2И-НЕ, соединенных так, что возникают положительные обратные связи. Допустим, что Q=1, q=0.
    При подаче R=1 и S=1 триггер остается в предыдущем состоянии, т.е. осуществляется режим хранения записанной ранее информации. При одновременной подаче сигналов 0 на оба входа RS-триггер переходит в состояние 1-1, из которого попытка перехода в режим хранения одновременной подачей 1 на входы триггера приведет его в неустойчивое состояние, что не должно допускаться. В противном случае возможен сбой в работе других устройств, связанных с выходами данного триггера. При подаче сигналов S=0 при R=1 или наоборот триггер устанавливается соответственно в "1" или "0".
    Синхронный RS-триггер. В практике эксплуатации дискретных устройств на входы их элементов сигналы не всегда поступают одновременно.
    Это обусловлено тем, что входные сигналы устройства могут проходить до поступления через разное число логических элементов, не обладающих к тому же одинаковой задержкой. Эти явления неодновременных изменений входных сигналов называются состязаниями или гонками. В результате состязаний новые значения одних сигналов будут сочетаться с предыдущими значениями других, что может привести к ложным срабатываниям триггера, а поэтому и всего устройства, в которое он входит. Это отрицательное явление можно устранить путем стробирования. Для этого в триггере кроме информационных сигналов организуются тактирующие (синхронизирующие) импульсы. К

    29
    моменту прихода синхронизирующих сигналов информационные сигналы на входах триггера обычно успевают установиться.
    На рисунке 3.2 приведена схема синхронного однотактного RS-триггера на элементах И-НЕ, который кроме информационных входов S и R имеет вход синхронизации С. При С=1, триггер работает подобно асинхронному, при С=0 - сохраняет свое предыдущее состояние. Кроме того, триггер имеет асинхронные входы r и s, на которые подаются входные сигналы при С=0 с целью принудительной установки триггера в нужное состояние. В этом случае во время управления триггером, как синхронным устройством при С=1, на асинхронные входы должны подаваться сигналы 1. Необходимо подчеркнуть, что для обеспечения правильной работы синхронных триггеров информационные сигналы должны изменяться в течение паузы между синхроимпульсами, т.е. при С=0.
    D-триггер является одним из широко употребляемых триггеров. В синхронных дискретных устройствах D-триггер реализует функцию временной задержки и имеет только режимы установки "1" и "0". В связи с этим асинхронный D-триггер (рисунок 3.3) обычно не применяется, т.к. его выход будет просто повторять входной сигнал. Синхронный D-триггер (рисунок 3.4), являясь однотактным, задерживает распространение входного сигнала на время паузы между синхроимпульсами (задержка на полпериода). Для задержки на период (на один такт) используется двухтактный D-триггер (рисунок 3.5).
    Рисунок 3.2 - Синхронный однотактный RS-триггер
    Рисунок 3.3 - Асинхронный
    D-триггер

    30
    JK-триггер, однотактная схема которого с синхронным исполнением приведена на рисунке 3.6, отличается от RS-триггера структурно тем, что их входные элементы имеют обратную связь с выходов триггера. JK-триггеры, в случае J=K=1, инвертируют хранимую в них информацию (переключаются в противоположное состояние). Но при этом работают нестабильно, входя в режим генерации если входной сигнал для асинхронных триггеров больше по продолжительности, чем время срабатывания JK-триггера, а в синхронном - если синхроимпульс по продолжительности больше времени срабатывания триггера (что обычно и бывает). По этой причине в сериях базисных элементов содержатся только двухтактные (двухступенчатые) JK-триггеры.
    Рисунок 3.6- Синхронный JK-триггер
    На базе синхронного JK-триггера можно реализовать асинхронный и синхронный Т-триггер (счетный триггер), а также синхронные D-и RS-триггеры
    (рисунок 3.7).
    Рисунок 3.4-Синхронный
    D-триггер
    Рисунок 3.5- Двухтактный
    D-триггер

    31
    Рисунок 3.7-Триггеры типа D,T и RS на основе JK-триггера
    3.1.2 Универсальные двухступенчатые триггеры
    В ЭВМ широко используютуниверсальные двухступенчатые JK- триггеры с групповыми J и K и дополнительными установочными R и S входами. Каждая группа входов J и K объединена конъюнкцией (рисунок 3.8), что позволяет расширить логические возможности JK-триггеров.
    Рисунок 3.8 – Условно – графическое обозначение универсального двух- ступенчатого JK-триггера К155ТВ1 с групповыми J и K и дополнительными ус- тановочными R и S входами
    Схемы двухступенчатых (двухтактных) триггеров универсального типа имеют повышенную стабильность и помехоустойчивость работы.
    Универсальность триггеров достигается наличием входов несинхронизируемой установки R и S, с помощью которых, при отсутствии синхроимпульса (С=0), триггер может быть установлен в состояние 1 путем подачи S=1, либо в состояние 0 путем подачи R=1. При установленных сигналах R=S=0, не

    32
    меняющих состояние схемы, переключение триггера осуществляется под воздействием синхронизирующих и информационных входов (при наличии
    С=1).
    Независимо от типа универсальных двухступенчатых триггеров (RS, D,
    JK и т.д.) принцип их построения одинаков: синхронный двухтактный триггер составляется из двух частей, одновременный прием информации в которых запрещен. Для построения первой и второй ступеней используются синхронные триггеры со статическим управлением записью.
    Если на синхронизирующий вход подается С=1, то первой ступенью принимается входная информация в течение действия синхроимпульса. Триггер первой ступени называют основным.
    Если состояние синхронного входа равно 0 (С=0), то прием входной информации в первую ступень запрещается, разрешается смена сигналов на информационных либо установочных входах триггера, а вторая ступень принимает (копирует) информацию (состояние), хранимую в первой ступени.
    Рассмотрим схемы основных типов универсальных двухступенчатых триггеров.
    Синхронный двухступенчатый RS-триггер, схема которого приведена на рисунке 3.9, состоит из двух синхронных RS-триггеров со статическим управлением записью.
    Рисунок 3.9- Синхронный двухступенчатый RS-триггер
    Синхронный двухступенчатый D-триггер, схема которого приведена на рисунке 3.10, использует на первой ступени синхронный D-триггер со статическим управлением записью на второй - RS-триггер.
    Рисунок 3.10 – Синхронный двухступенчатый D-триггер

    33
    Синхронный двухступенчатый JK-триггер может быть реализован на базе RS-триггера с введением обратной связи с выхода на вход. Его схема приведена на рисунке 3.11.
    Рисунок 3.11 - Синхронный двухступенчатый JK-триггер
    3.2 Регистры
    Регистры - узлы ЭВМ, служащие для хранения информации в виде кодовых слов (n-разрядного двоичного числа) или его частей, а также для выполнения над словами некоторых логических операций (микроопераций).
    Регистры организуются в виде наборов триггеров того или иного типа со схемами управления. В зависимости от функционального назначения регистры подразделяются на накапливающие (регистры памяти) и сдвигающие.
    3.2.1 Накапливающие регистры
    Накапливающие регистры выполняют следующие микрооперации:
    - установку (сброс) регистра в состояние 00..00 (в "ноль");
    - установку регистра в состояние 11..11 или другое кодовое состояние;
    - параллельный прием (запись) в регистр кода n-разрядного слова из другого устройства (регистра, сумматора, ЗУ и т.д.) и хранение его в течение требуемого интервала времени;
    - параллельную передачу (чтение, считывание) слова в другое устройство;
    - преобразование прямого кода в обратный и наоборот.
    Накапливающие регистры или регистры памяти применяются для ввода
    (записи), хранения и вывода (чтения, считывания) двоичной информации одновременно всеми разрядами, т.е. в параллельной форме и, главным образом, для хранения и пересылки информации внутри ЭВМ, в отдельных узлах и для межузловой связи. Они могут быть образованы из асинхронных и синхронных, одноступенчатых и двухступенчатых триггеров различных типов.
    Параллельные регистры могут быть однофазными, когда на входы триггеров регистра поступает однофазный код числа (без подачи инверсных значений цифр разрядов, и парафазными, когда на входы триггеров регистра

    34
    поступает парафазный код числа (при подаче как прямых, так и инверсных значений цифр разрядов).
    Однофазный параллельный регистр может быть построен на D-триггерах, его схема изображена на рисунке 3.12.
    При подаче единичного сигнала синхроимпульса на входы С триггеры регистра устанавливаются в состояния, определяемые действующими на входах значениями цифровых разрядов (1 или 0).
    Рисунок 3.12 – Функциональная схема однофазного параллельного регистра
    Парафазный параллельный регистр может быть реализован с использованием синхронных JK-триггеров, как показано на рисунке 3.13. Если цифра i-того разряда Xi=1, то единичный сигнал поступает на вход J соотвествующего триггера, а инверсный (парафазный) сигнал на вход К и триггер включается (принимает единичное состояние). Если Xi=0, то единичный сигнал подается на вход К соответствующего триггера, а нулевой сигнал на вход J и триггер устанавливается в нулевое состояние. Таким образом, триггеры устанавливаются в состояния, определяемые поступающими парафазными сигналами входного информационного кода.

    35
    Рисунок 3.13 - Функциональная схема парафазного параллельного регистра
    При выполнении арифметических операций возникает необходимость в преобразовании отрицательных чисел из прямого кода в обратный (путем инвертирования их цифровых разрядов).
    На рисунке 3.14 приведен регистр, реализующий такое преобразование.
    Обычно нулевой разряд регистра является знаковым, значение X0=0 сопоставляется положительному числу, а Х0=1 - отрицательному. На схеме при
    Х0=0 данные Di=Xi и на выходе регистра разряды числа Qi будут в прямом коде, а при Х0=1 - в обратном коде (Di=Xi), т.к. в первом случае информация с регистра снимается с прямых выходов триггеров (Q), во втором случае с обратных выходов.
    Рисунок 3.14. Регистр, преобразующий прямой код в обратный код
    Как в однофазных, так и в парафазных параллельных регистрах прямой и обратный коды двоичного слова считывается соответственно с выходов Q1,
    Q2,..., Qn либо с q1, q2,..., qn.

    36
    3.2.2 Сдвигающие регистры
    В зависимости от параллельного или последовательного способа записи и считывания цифровой информации различают сдвигающие регистры:
    - с параллельной записью и параллельным считыванием;
    - с параллельной записью и последовательным считыванием;
    - с последовательной записью и последовательным считыванием;
    - с последовательной записью и параллельным считыванием.
    Сдвигающие регистры помимо перечисленных обеспечивают выполнение следующих дополнительных микроопераций:
    - сдвиг слова вправо;
    - сдвиг слова влево;
    - преобразование последовательного кода, принимаемого разряд за разрядом, в параллельный;
    - преобразование параллельного кода в последовательный, выдаваемый разряд за разрядом;
    - поразрядные логические микрооперации (логическое умножение, логическое сложение и т.д.)
    При реализации различных операций в арифметических и других устройствах ЭВМ широкое применение находят различные операции сдвига слов, записанных в регистры. Сдвиги, как операции, входят в состав системы команд всех ЭВМ. Операция сдвига кода - это перемещение в регистре всех разрядов слова на одинаковое число разрядов влево или вправо. В этом случае разряды слова, вышедшие из разрядной сетки регистра влево (или вправо) либо теряются, либо передаются последовательно, разряд за разрядом, во внешнее устройство, подключенное к регистру. В освободившиеся при этом разряды регистра записываются нули.
    Рассмотрим примеры сдвига числа на один разряд влево и вправо
    (таблица 3.1). Суть сдвига вправо состоит в том, что цифра из i-ого разряда, имевшаяся до сдвига, передается в (i-1)-й, более младший разряд; из (i-1)-го разряда в (i-2)-й и т.д. Суть сдвига влево заключается в том, что цифра, имевшаяся в i-м разряде регистра до сдвига, передается в соседний левый (i+1)- й, более старший разряд; из (i+1)-го разряда в (i+2)-ой разряд и т.д.
    Таблица 3.1 – Иллюстрация сдвига числа в регистре
    Номер разряда в регистре n … i+1 i 2 1 0
    Число в регистре до сдвига
    0 1 0 1 0 0 1
    Число в регистре после сдвига влево на 1 разряд
    1 0 1 0 0 1 0
    Число в регистре до сдвига
    1 0 1 1 0 1 0
    Число в регистре после сдвига вправо на 1 разряд
    0 1 0 1 1 0 1

    37
    Сдвигающие регистры, в которых ввод и вывод двоичного слова производится в последовательном коде (с использованием операции сдвига) называют последовательными регистрами. Последовательный сдвигающий влево регистр, построенный на D-триггерах, показан на рисунке 3.15.
    Рисунок 3.15 - Сдвигающий влево регистр на триггерах D-типа
    Сдвигающий вправо последовательный регистр с параллельной записью кода, построенный на универсальных JK-триггерах, приведен на рисунке 3.16.
    Рисунок 3.16 – Сдвигающий вправо регистр с параллельной записью информационного кода
    Регистр, приведенный на рисунке 3.16, функционирует следующим образом. По сигналу W0 "СБРОС", поданному на шину R, осуществляется обнуление триггеров регистра по входам R через соответствующие логические элементы И-НЕ.
    Запись данных осуществляется под управлением сигнала W1, который подается на объединенные входы элементов И-НЕ, управляющих записью.
    На вторые входы соответствующих схем И-НЕ поступают сигналы Хi - ой цифры кода. Обнуление и подача записываемой в регистр информации производятся в отсутствии синхросигнала СИ (в два такта, что является недостатком данного способа записи). В момент прихода СИ на входы С триггеров сигнал с выхода Qi-ого триггера воздействует на вход (i-1)-ый триггер, с выхода Q(i-1)-ого, на вход (i-2)-ого триггера, передавая им свое

    38
    состояние, т.е. происходит сдвиг вправо на один разряд от старших разрядов к младшим.
    Иногда регистр должен иметь возможность сдвига информации в двух направлениях параллельной записью и считыванием числа. В этом случае ис- пользуются реверсивные регистры такие, как показано на рисунке 3.17. Этот регистр организован на базе D-триггеров.
    Рисунок 3.17 - Реверсивный сдвигающий регистр
    Узлы записи информации в данном регистре перезаписывают информа- цию во время действия фронта тактового импульса Т. По шине W подается сигнал разрешения записи, а на входы Xi - данные для записи в соответствую- щие разряды. Для записи параллельных данных используются элементы И-
    ИЛИ-НЕ. С помощью этого же узла и шин > и < можно управлять направлени- ем сдвига.
    1   2   3   4   5   6   7   8   9


    написать администратору сайта