Главная страница

Электроника. Организация эвм и систем однопроцессорные эвм


Скачать 4.17 Mb.
НазваниеОрганизация эвм и систем однопроцессорные эвм
АнкорЭлектроника
Дата14.12.2022
Размер4.17 Mb.
Формат файлаdoc
Имя файлаBook_1.doc
ТипКонспект
#845557
страница12 из 18
1   ...   8   9   10   11   12   13   14   15   ...   18

2.7. Представление дробных чисел в ЭВМ. Числа с
фиксированной и плавающей запятой


В ЭВМ числа представлены в двоичной форме и под число отводится N разрядов. N-разрядное двоичное число называют машинным словом. Диапазон представления чисел можно расширить за счет использования машинных слов двойной и большей длины. Но увеличение длины слова не может разрешить всех проблем представления чисел. Рассмотрим, как обращаться с дробной частью числа, как представлять очень большие и очень малые числа.

Используют две формы представления чисел:

  • числа с плавающей запятой (точкой), которые сокращенно называются ЧПЗ (ЧПТ);

  • числа с фиксированной запятой (точкой) – ЧФЗ (ЧФТ), которые подразделяются по месту фиксации запятой на:

- слева от СЗР (дробные |X| < 1);

- справа от МЗР (целые).

2.7.1. Числа с фиксированной запятой


Первые ЭВМ были машинами с фиксированной запятой, причем запятая фиксировалась перед старшим разрядом. В настоящее время форму ЧФЗ, как правило, применяют для представления целых чисел (запятая фиксируется после младшего разряда). Следует отметить, что нумерация разрядов в слове может быть разная. Наиболее распространенной в настоящее время является нумерация разрядов справа налево. Между тем, возможна нумерация и слева направо, которая традиционно использовалась в старых мэйнфреймах, например IBM-360/370 и некоторых других ЭВМ, в том числе и миниЭВМ.

Поскольку фиксация точки слева от СЗР в настоящее время практически не используется, рассмотрим только формат представления целых чисел на примере 32-разрядного слова, используемого в мэйнфреймах IBM-360 (рис. 2.7). Аналогичный формат используется и в современных 32-разрядных процессорах, причем нумерация разрядов может быть как справа налево, так и слева направо.


Целые числа могут быть представлены как в формате слова (32 разряда), так и в формате полуслова (16 разрядов).

Используют два варианта представления целых чисел – со знаком и без знака. В последнем случае все разряды служат для представления модуля числа. В ЭВМ реализуются оба этих варианта в формате слова и полуслова.

В мини- и микроЭВМ разрядность слова обычно меньше (16 бит), но формат представления целых чисел аналогичен рассмотренному (рис. 2.8), за исключением того, что нумерация разрядов в большинстве случаев осуществляется в другую сторону.

Следует иметь в виду, что в мини- и микроЭВМ целые числа могут быть представлены как в формате слова (16 или 8 бит), так и в формате двойного слова (32 или 16 бит). В микроЭВМ целые числа часто представляют без знака в формате слова (8 бит) или двойного слова. В современных ЭВМ, как правило, слова содержат целое число байт, кратное степени двойки (1,2,4… байта).


Рассмотрим диапазон представления чисел с фиксированной запятой (только целых чисел, т.е. точка фиксирована справа от МЗР). Если в разрядной сетке N разрядов, то под модуль числа отводится N-1 разряд (число со знаком). Самое большое по модулю число, записанное в такой сетке, имеет вид

Следовательно, |X|max = 2N-1 -1 или 0 |X| 2N-1 - 1.

При записи отрицательных чисел в дополнительном коде наибольшее по модулю отрицательное число – это -2N-1. Но модуль этого числа при такой же разрядной сетке (N бит) получить уже нельзя. Поэтому диапазон представления десятичных чисел N-разрядным двоичным числом определяется следующим выражением:
-2N-1X 2N-1-1 .
В табл. 2.2 приведены диапазоны представления десятичных чисел 8-, 16- и 32- разрядными двоичными числами.
Таблица 2.2

N

8

16

32

Xmax

127

32767

2147483647

Xmin

-128

-32768

- 2147483648


При решении расчетных задач на ЭВМ с фиксированной точкой для предотвращения переполнения разрядной сетки при подготовке к решению приходится вводить масштабные коэффициенты, которые не позволяют числам, участвующим в решении, и результатам превышать по модулю максимальное машинное число.

В настоящее время представление чисел с фиксированной запятой используется как основное и единственное лишь в сравнительно небольших по своим вычислительным возможностям машинах. Подобные ЭВМ применяют в системах передачи данных, для управления технологическими процессами, для обработки измерительной информации в реальном масштабе времени, для построения кодирующих и декодирующих устройств в каналах связи. В ЭВМ общего назначения основным является представление чисел с плавающей запятой.

2.7.2. Числа с плавающей запятой


Представление чисел в виде ЧПЗ позволяет избавиться от операции масштабирования при вычислениях, поскольку диапазон представляемых чисел существенно расширяется по сравнению с ЧФЗ. Однако в большинстве ЭВМ общего назначения, для целых чисел сохраняется возможность представления в виде ЧФЗ. Операции с ЧФЗ всегда выполняются за меньшее время, чем операции с ЧПЗ. В частности, к операциям с целыми числами сводятся операции над кодами адресов (операции индексной арифметики).

Представление чисел с плавающей запятой в общем случае имеет вид:
X = Sp*q; |q|<1,
где q – мантисса (правильная дробь со знаком),

p – порядок (целое число со знаком),

S – основание,

Sp – характеристика.

В ЭВМ q и p представлены в системе счисления с основанием S в соответствующей двоичной кодировке. Знак числа совпадает со знаком мантиссы. Порядок может быть как положительным, так и отрицательным и определяет положение точки в числе X. Арифметические действия над ЧПЗ требуют помимо действий с мантиссами, определенные операции над порядками (сравнение, вычитание и др.). Для упрощения операций над p их сводят к действиям над целыми положительными числами, применяя представление ЧПЗ со смещенным порядком.

В этом случае к порядку p прибавляют целое число R=2k, где k – число двоичных разрядов, используемых для представления модуля порядка. Смещенный порядок PСМ=P+R всегда больше нуля или равен ему. Для его представления требуется такое же количество двоичных разрядов, как и для представления знака и модуля p.

При фиксированном числе разрядов мантиссы любая величина представляется в ЭВМ нормализованным числом с наибольшей возможной точностью. Число называется нормализованным, если мантисса q удовлетворяет условию 1>|q|1/S, т.е. старший разряд мантиссы в S-ричной системе счисления отличен от нуля, иначе число не нормализовано. Так, например, в десятичной системе счисления число 0.00726*10-3 не нормализовано, а число 0.726*10-5 – нормализовано.

В процессе вычислений числа могут оказаться ненормализованными. Обычно ЭВМ автоматически нормализует такие числа, выполняя ряд действий. На рис. 2.9 представлен обобщенный формат представления ЧПЗ в микро- и миниЭВМ.

Пусть r старших разрядов S-ричной мантиссы равны нулю. Тогда нормализация состоит:

- из сдвиг мантиссы на r разрядов влево;

- уменьшения PСМ на r единиц;

- запись нуля в r младших разрядах мантиссы.

При этом число не изменяется, а условия нормализации выполняются.
Пример.

Нормализовать двоичное число.

Ненормализованное двоичное число:

Нормализованное двоичное число:

Пример.

Нормализовать двоичное число.

Ненормализованное двоичное число:

Нормализованное двоичное число:

Следует иметь в виду, что нормализация может происходить в другую сторону, если в результате выполнения операции слева от точки появилась единица. В этом случае необходимо выполнить следующие операции:

- сдвиг мантиссы на один разряд вправо;

- увеличение PСМ на единицу.

В различных ЭВМ числа с плавающей запятой используются в системах счисления с различными основаниями S, но равными целым степеням числа 2, т.е. S=2W. При этом порядок представляют целым числом, а мантиссу q – числом, в котором группы по W двоичных разрядов изображают цифры мантиссы с основанием системы счисления S=2W. В современных ЭВМ используются, как правило, S = 2, 16.

Использование S>2 позволяет:

- расширить диапазон представления чисел;

- ускорить выполнение операций нормализации, поскольку сдвиг может сразу происходить на несколько разрядов (при S=16 – сдвиг на 4 разряда).
Пример.

В результате операции получили (S=16):

Произведем нормализацию. Для этого q нужно сдвинуть влево на один шестнадцатеричный разряд, т.е. на 4 двоичные единицы, а из P вычесть 1. В результате получим



Итак, диапазон представляемых в ЭВМ чисел с плавающей запятой зависит от основания системы счисления S и числа разрядов, выделенных для P. Точность вычисления для ЧПЗ определяется числом разрядов q. С увеличением числа разрядов q увеличивается точность, но одновременно увеличивается и время выполнения арифметических операций. Ввиду этого использование S, отличного от 2, несколько уменьшает точность вычислений при фиксированном числе двоичных разрядов q. Традиционно шестнадцатеричная арифметика используется в мэйнфреймах.

Задачи, решаемые на ЭВМ, предъявляют различные требования к точности вычисления, поэтому большинство машин общего назначения имеют несколько форматов ЧПЗ с различным числом разрядов q. Рассмотрим только короткие форматы ЧПЗ в ЭВМ с 32-разрядным словом, использующих шестнадцатеричную (S=16) и двоичную (S=2) системы счисления.

Формат ЧПЗ при S=16 представлен на рис. 2.10.



Всего под q отведено 24 двоичных разряда. Общая длина слова N – 32 двоичных разряда. Еще есть длинный формат (64 бита) и расширенный (128 бит). Во всех форматах под PСМ отведено по 7 двоичных разрядов (с первого по седьмой). Если бы порядок был несмещенный, то один двоичный разряд отводился бы под знак порядка и k разрядов – под модуль (k = 6). При этом диапазон изменения модуля несмещенного порядка P составил бы 0  2k-1 или 0  63, а полный диапазон изменения порядка Р = (-64)  (+63). Выражение для смещенного порядка соответственно имеет вид .
Таким образом, при S=16 диапазон изменения PСМ = 0  127.

Следует иметь в виду, что при изображении машинного слова с помощью шестнадцатеричных символов первые две старшие шестнадцатеричные цифры представляют совместно знак числа и смещенный порядок.

Формат ЧПЗ при S=2 представлен на рис. 2.11.




Общая длина слова N – 32 двоичных разряда. Обычно еще есть длинный формат, имеющий N = 64 бита. В обоих форматах под смещенный порядок отведено 8 двоичных разрядов. Таким образом, диапазоны изменения смещенного и несмещенного порядков составляют соответственно

PСМ = 0...255 и P = -128...+127 .
Поскольку числа в памяти хранятся в нормализованной форме, старший разряд q всегда равен единице, поэтому он не запоминается, а подразумевается., В таких ЭВМ точность представления числа фактически определяется мантиссой q в 24 двоичных разряда (короткий формат) и 56 двоичных разрядов (длинный формат).

Рассмотрим только короткие форматы.

Диапазон представления ЧПЗ определяется значением S и числом разрядов, отведенных под P.

Двоичное основание (S=2): (k=7) Xmax=2127 1038 .

Шестнадцатеричное основание (S=16): (k=6) Xmax=1663 1076 .

Точность представления ЧПЗ определяется значением S и числом разрядов мантиссы в соответствующей системе счисления. И при S=16, и при S=2 под q отведено фактически 24 двоичных разряда:

  • при S=2: 24 двоичных разряда обеспечивают точность, соответствующую семи десятичным разрядам;

  • при S=16: точность при использовании короткого слова (N = 32) ниже за счет другого способа нормализации, т.е. в q могут быть три нуля слева, поскольку шестнадцатеричное число при этом еще не равно нулю. В двоичных числах слева всегда единица, то есть разрядная сетка используется полнее. Пояснить это можно на примере 8-разрядной сетки:



При S=16 нормализация не произойдет, так как d1 не равно нулю. Это приведет к потере четырех младших разрядов результата. При S=2 нормализация произойдет и будет потерян только один младший разряд результата. В связи с этим в ЭВМ с S=16 обычно предусматриваются еще длинный и расширенный форматы.

Еще до недавнего времени каждый производитель процессоров пользовался собственным представлением вещественных чисел (чисел с плавающей точкой). За последние несколько лет ситуация изменилась. Большинство поставщиков процессоров в настоящее время для представления вещественных чисел придерживаются стандарта ANSI/IEEE 754-1985 Standard for Binary Floating-Point Arithmetic.

Стандарт описывает два основных формата ЧПЗ: одиночный (single – 32 бита) и двойной (double – 64 бита). В IEEE 754 не указан точный размер расширенного формата, но описаны минимальная точность и размер (79 бит).

Формат числа – структура, определяющая поля, составляющие число с плавающей запятой, их размер, расположение и интерпретацию.

Одиночный формат

Одиночный формат состоит из трех полей: 23-разрядной мантиссы f, 8-раз­рядного смещенного порядка e, знакового бита s (см. рис. 2.12).



В табл. 2.3 показано соответствие между значениями трех полей и значением числа с плавающей запятой.

Таблица 2.3

Комбинация значений полей

Значение

0 < e < 255

(-1)s × 2e-127 × 1.f

(нормализованные числа)

e= 0; f  0 (по крайней мере, один бит не нулевой)

(-1)s × 2-126 × 0.f

(ненормализованные числа)

e= 0; f = 0 (все биты нулевые)

(-1)s × 0.0 (ноль со знаком)

e= 255; f = 0 (все биты нулевые)

INF (бесконечность со знаком)

e= 255; f 0 (по крайней мере, один бит не нулевой)

NaN (Not-a-Number)


Значение неявного бита (старшего разряда мантиссы) прямо не задается, но подразумевается значением порядка. 23-разрядная мантисса вместе с неявным битом обеспечивает точность в 24 разряда.

Двойной формат

Двойной формат состоит из трех полей: 53-разрядной мантиссы f, 11-разрядного смещенного порядка e, знакового бита s. Эти поля хранятся в двух 32-разрядных словах, как показано на рис. 2.13. В x86–архитектуре слово с меньшим адресом содержит младшие разряды мантиссы, в то время как, например, в SPARC– архитектуре младшие разряды мантиссы содержит слово с большим адресом.



В табл. 2.4 показано соответствие между значением трех полей и значением ЧПЗ двойной точности.
Таблица 2.4

Комбинация значений полей

Значение

0 < e < 2047

(-1)s × 2e-1023 × 1.f (нормализованное число)

e = 0; f  0

(-1)s × 2-1022 × 0.f (ненормализованное число)

e = 0; f = 0

(-1)s × 0.0 (ноль со знаком)

s = 0; e = 2047; f = 0

+INF (положительная бесконечность)

s = 1; e = 2047; f = 0

-INF (отрицательная бесконечность)

e = 2047; f  0

NaN (Not-a-Number)


Значение неявного бита (старшего разряда мантиссы) прямо не задается, но подразумевается значением порядка. 53-разрядная мантисса вместе с неявным битом обеспечивает точность в 54 разряда.

Расширенный формат (SPARC– архитектура)

Расширенный формат состоит из трех полей: 112-разрядной мантиссы f,
15-разрядного смещенного порядка e, знакового бита s. Эти поля хранятся в четырех 32-разрядных словах, как показано на рис. 2.14. В SPARC–архитектуре младшие разряды мантиссы содержит слово с большим адресом.




В табл. 2.5 показано соответствие между значением трех полей и значением ЧПЗ расширенного формата для SPARC–архитектуры.
Таблица 2.5

Комбинация значений полей

Значение

0 < e < 32767

(-1)s × 2e-16383 × 1.f (нормализованное число)

e = 0; f  0

(-1)s × 2-16382 × 0.f (ненормализованное число)

e = 0; f = 0

(-1)s × 0.0 (ноль со знаком)

s = 0; e = 32767; f = 0

+INF (положительная бесконечность)

s = 1; e = 32767; f = 0

-INF (отрицательная бесконечность)

e = 32767; f  0

NaN (Not-a-Number)


Расширенный формат (х86– архитектура)

Расширенный формат состоит из 4-ч полей: 63-разрядной мантиссы f, явного старшего значащего бита j, 15-разрядного смещенного порядка e, знакового бита s.

В х86–архитектуре эти поля сохранены в восьми последовательно адресованных 8-разрядных байтах. Однако UNIX System V Application Binary Interface Intel 386 Processor Supplement (Intel ABI) требует, чтобы числа расширенного формата занимали три последовательно адресованных 32-разрядных слова в стеке, оставляя 16 старших бит неиспользованными, как показано на рис. 2.15.





В табл. 2.6 показано соответствие между значениями трех полей и значением ЧПЗ расширенного формата для х86–архитектуры.
Таблица 2.6

Комбинация значений полей

Значение

j = 0; 0 < e < 32767

Не поддерживается

j = 1; 0 < e < 32767

(-1)s × 2e-16383 × 1.f (нормализованное число)

j = 0; e = 0; f  0

(-1)s × 2-16382 × 0.f (ненормализованное число)

j = 1; e = 0

(-1)s × 2-16382 × 0.f (псевдоненормализованное число)

j = 0; e = 0; f = 0

(-1)s × 0.0 (ноль со знаком)

j = 1; s = 0; e = 32767; f = 0

+INF (положительная бесконечность)

j = 1; s = 1; e = 32767; f = 0

-INF (отрицательная бесконечность)

j = 1; e = 32767; f  0

quiet или signaling NaN


Мэйнфреймы

В мэйнфреймах фирмы IBM используемое еще со времен S/360 шестнадцатеричное представление чисел с плавающей запятой – с шестнадцатеричной мантиссой и характеристикой (HFР) – в ESA/390 (мэйнфреймы серии S/390) дополнено двоичным представлением BFP, удовлетворяющим стандарту IEEE 754. Это представление определяет 3 формата данных – короткий, длинный и расширенный– и 87 новых команд для работы с ними.

BFP появилось в ESA/390 относительно недавно, в 1998 году. Одновременно было введено 12 дополнительных регистров FR (общее число FR достигло 16). Кроме того, в архитектуре появился управляющий регистр с плавающей запятой и средства сохранения содержания регистров при операции записи состояния. Добавлено еще 8 новых команд, не связанных однозначно с тем или иным представлением данных с плавающей запятой, в том числе 4 – для преобразования между форматами HFP и BFP.

Для работы с HFP-данными появилось 26 новых команд, являющихся аналогами соответствующих BFP-команд. Эти новые команды включают, в частности, преобразования между форматами чисел с фиксированной и с плавающей запятой и новые операции с расширенной точностью.

В суперкомпьютерах NEC SX-4 (представленных в 1995 году) целые числа могут быть как 32-, так и 64-разрядными. Для чисел с плавающей запятой применяется стандарт IEEE 754 (как для 32-, так и для 64-разрядных чисел). Кроме того, SX-4 может работать со 128-разрядными числами с плавающей запятой расширенной точности и с форматами чисел с плавающей запятой, используемыми в PVP-системах Cray и мэйнфреймах IBM. При этом производительность SX-4 не зависит от формата представления, а сам этот формат выбирается при компиляции.

2.7.3. Сложение (вычитание) ЧПЗ


Требуется вычислить Z=XY при условии, что |X||Y|. Формальное выражение для выполнения этой операции можно записать следующим образом:
.
Алгоритм выполнения операции состоит в следующем:

  • производится выравнивание порядков, при котором порядок меньшего по модулю числа принимается равным порядку большего, а мантисса меньшего числа сдвигается вправо на число S-ричных разрядов, равное разности (Px-Py), т.е. происходит денормализация;

  • производится сложение (вычитание) мантисс, в результате чего получается мантисса суммы (разности);

  • порядок результата равен порядку большего числа;

  • полученный результат нормализуется.


Пример.

Сложить два числа (ЧПЗ) Z=X+Y для S = 2.


В общем случае сложение и вычитание q производится по правилам сложения и вычитания чисел с фиксированной точкой, т.е. с использованием прямого, обратного и дополнительного кодов.

Операции сложения и вычитания чисел с плавающей запятой, в отличие от операций с фиксированной запятой, выполняются приближенно, так как при выравнивании порядков происходит потеря младших разрядов одного из слагаемых (меньшего) в результате его сдвига вправо (погрешность всегда отрицательна).

2.7.4. Умножение ЧПЗ


Требуется вычислить . Формальное выражение для выполнения этой операции можно записать следующим образом:
Z=X*Y=qxSPx*qySPy= qxqyS(Px+Py)=qzSPz .
Алгоритм выполнения операции состоит в следующем:

  • мантиссы сомножителей перемножаются;

  • порядки сомножителей складываются;

  • произведение нормализуется;

  • произведению присваивается знак в соответствии с алгоритмом, приведенным для ЧФЗ, а именно:



В данном случае имеется в виду способ умножения, предполагающий отделение от сомножителей их знаковых разрядов и раздельное выполнение действий над знаками и модулями чисел. Однако на практике в современных ЭВМ используют алгоритмы, не требующие раздельных операций над знаками и модулями, например алгоритм Бута. Информацию о них можно найти в литературе, приведенной в конце главы.

Рассмотрим простейший раздельный алгоритм перемножения ЧПЗ.

Умножение ЧПЗ сводится к следующим операциям:

  • алгебраическое суммирование порядков – это операции над целыми числами или ЧФЗ с фиксацией точки справа от МЗР;

  • перемножение мантисс – это операции над правильными дробями или над ЧФЗ с фиксацией точки слева от СЗР;

  • определение знака произведения.

Операции над целыми числами были рассмотрены ранее. Теперь рассмотрим только перемножение правильных дробей. Вручную дроби можно перемножать столбиком. Подсчет знаков в дробной части такой же, как и при перемножении десятичных дробей. В ЭВМ для перемножения мантисс (как и для целых чисел) возможны два варианта перемножения: "старшими разрядами вперед" и "младшими разрядами вперед".

Если требуется сохранить все разряды, то в устройстве, формирующем произведение, число разрядов должно равняться сумме числа разрядов множителя и множимого. Однако часто в произведении требуется сохранить то же количество разрядов, что и в множимом. Это приводит к потере младших разрядов.

Рассмотрим пример перемножения двух чисел "младшими разрядами вперед" для случая, когда разрядная сетка результата соответствует разрядной сетке сомножителей.
Пример.

Вычислить Z=X*Y=0.1101(2) * 0.1011(2) = 0.8125(10) * 0.6875(10) = 0.55859375(10).

Таким образом, результат Z=0.1000(2)=0.5(10), поскольку последние четыре разряда потеряны.

При перемножении мантисс (правильных дробей) последнее сложение можно не делать, а ограничиться просто последним сдвигом. Из примера видно, что если разрядная сетка ограничена числом разрядов X, то результаты правее вертикального пунктира не фиксируются после выполнения сдвигов. Таким образом, четыре младших разряда будут потеряны, и результат будет приближенный 0.1000(2). В ряде случаев используется округление по правилу: если старший из отбрасываемых разрядов содержит 1, то к младшему из сохранившихся разрядов добавляется 1. В данном примере получается число 0.1001(2).

В заключение отметим следующее:

  • если мантисса X или Y равна 0, то перемножение не проводится и Z=0;

  • если при суммировании PX и PY возникло переполнение и PZ<0, то это означает, что Z меньше минимального представляемого в машине числа, и Z присваивают 0 без перемножения мантисс;

  • если при суммировании PX и PY возникло переполнение и PZ>0, может оказаться, что Z все же находится в диапазоне представляемых в ЭВМ чисел, так как после нормализации полученного qZ переполнение в порядке может исчезнуть.

2.7.5. Методы ускорения умножения


Рассмотренный в предыдущей теме материал показывает, что умножение – это достаточно длинная операция, состоящая из N суммирований и сдвигов, а также выделений очередных цифр множителя.

Из этого следует актуальность задачи максимального сокращения времени, затрачиваемого на операцию умножения, особенно для систем, работающих в реальном масштабе времени. В современных ЭВМ методы ускорения умножения можно разделить:

  • на аппаратные;

  • логические (алгоритмические);

  • комбинированные.


Аппаратные методы

1. Распараллеливание вычислительных операций. Например, совмещение во времени суммирования и сдвига.

2. Табличное умножение. Это довольно распространенный способ реализации различных функций. Остановимся на нем подробнее.

Пусть X и Y – целые числа длиной в 1 байт. Надо вычислить Z=X*Y. Можно использовать 65 Кбайт памяти и занести в них значения Z для всех возможных комбинаций X и Y, а сомножители X и Y использовать в качестве адреса. Получается своеобразная таблица следующего вида:

Алгоритмические методы

Эти методы разнообразны. Приведем только один пример: при S=16 можно за один такт обрабатывать несколько разрядов множителя (4 разряда). Сдвиги тоже осуществляются на 4 разряда. Следует отметить, однако, что в большинстве случаев алгоритмические методы требуют определенную аппаратную поддержку.
Комбинированные методы

Рассмотрим пример. Пусть X и Y – 16-разрядные числа. Надо вычислить произведение вида Z=X*Y. Использовать непосредственно табличный метод не удастся, поскольку для этих целей потребуется очень большой объем памяти. Однако можно представить каждый сомножитель как сумму двух 16-разрядных слагаемых, каждое из которых представляет группы старших и младших разрядов сомножителей. В этом случае произведение примет вид
Z = X*Y = (x15 ... x0)*(y15 ... y0) =

= (x15...x8000...0 + 000...0x7...x0)* (y15...y8000...0 + 000...0y7...y0) =

= 216(x15...x8) (y15...y8) + 28(x15...x8) (y7...y0) + 28(x7...x0) (y15...y8) +

+ (x7...x0)*(y7...y0) .
Таким образом, произведение раскладывается на простые 8-разрядные сомножители. Эти произведения 8-разрядных операндов вычисляются табличным методом, а затем следует сдвиг слагаемых сразу на 16,8,8,0 разрядов и суммирование.

2.7.6. Деление чисел с плавающей запятой


Алгоритмы деления чисел с плавающей запятой в настоящем курсе не рассматриваются. Информацию о них можно найти в литературе, приведенной в конце главы.
1   ...   8   9   10   11   12   13   14   15   ...   18


написать администратору сайта