Главная страница
Навигация по странице:

  • 9.1.3. T -триггер.

  • Последний вариант цифровой электроники. Последний вариант цифровой электроники (1). Тема Математическое введение в цифровую технику. 11


    Скачать 2.28 Mb.
    НазваниеТема Математическое введение в цифровую технику. 11
    АнкорПоследний вариант цифровой электроники
    Дата27.02.2022
    Размер2.28 Mb.
    Формат файлаdoc
    Имя файлаПоследний вариант цифровой электроники (1).doc
    ТипДокументы
    #375440
    страница13 из 16
    1   ...   8   9   10   11   12   13   14   15   16

    9.1.2. D-триггер.

    D-триггер представляет собой синхронный запоминающий бинарный элемент с одним информационным входом D и входом синхронизации C. D-триггер осуществляет запись информационного разряда по активному уровню сигнала C с последующим его хранением. Отсюда D-триггер имеет другие названия: триггер-защелка (data-триггер) и триггер задержки (delay-триггер), что объясняет происхождение символа «D» в его названии. Работа D-триггера задается таблицей переходов 9.4:

    Таблица 9.4.

    tn

    tn+1

    Cn

    Dn

    Qn+1

    0

    0

    Qn

    0

    1

    Qn

    1

    0

    0

    1

    1

    1

    Проделав операции, как и в случае с RS-триггером, можно составить логическое уравнение D-триггера, соответствующее приведенной таблице переходов:

    .

    Если RS-триггер относится к классу парафазных триггеров (для установки его в ноль или единицу необходимо подавать на входы R и S сигналы с противоположными значениями), то D-триггер является однофазным триггером. Из этого следует, что D-триггер можно реализовать на базе синхронного RS-триггера, задействовав вход S как вход D, а на вход R подав сигнал D через инвертор (рис.9.6,а). Можно реализовать D-триггер на базе RS-триггера и без дополнительного инвертора, несколько изменив связи в его внутренней структуре. Для этого выполним следующие преобразования над логическим уравнением D-триггера:







    .

    Полученное уравнение совпадает по своей структуре с уравнением для асинхронного RS-триггера, при условии, что , а . Тогда очевидно, что D-триггер можно реализовать на базе RS-триггера, на информационные входы которого необходимо подавать сигналы в соответствии с полученными выражениями. Поскольку сигналы R и S на вход асинхронного RS-триггера на базе элементов И-НЕ должны подаваться в инверсном виде, то для получения D-триггера на входы RS-триггера необходимо подавать и . Преобразуем выражение для к виду:

    .

    С учетом полученных выражений, схема D-триггера может быть представлена совокупностью двух каскадов (рис. 9.6,б). Первый выполняет функцию формирование сигналов и , а второй – асинхронного RS-триггера. На рис. 9.6,в показано условное графическое обозначение D-триггера.



    Рис. 9.6. Схема D-триггера на основе синхронного RS-триггера с внешним инвертором (а), на основе структуры RS-триггера без внешнего инвертора (б), условное графическое обозначение D-триггера (в).

    Рассмотренные ранее способы подачи входных сигналов характеризуются тем, что их активными уровнями являются статические состояния, т.е. сами уровни напряжения логического нуля или логической единицы. Такая форма управления цифровым устройством называется статической. Для тактируемых устройств эта форма управления в большинстве случаев является неудобной, поскольку в течение всего времени действия импульса сигнала синхронизации, устройство будет реагировать на любые изменения входных информационных сигналов. Таким образом, необходимо, чтобы информационные сигналы оставались неизменными на протяжении действия импульса синхронизации. Это значительно усложняет схему устройства, а в некоторых случаях и вовсе является не решаемой задачей, поскольку информационные сигналы могут носить характер последовательностей импульсов со случайным характером. Для устранения указанного недостатка используется принцип динамического управления. Согласно этому принципу, активным считается не статический уровень напряжения логической единицы или логического нуля, а процесс перехода из одного уровня в другой. Этот процесс представляет собой передний или задний фронт тактирующего импульса и, следовательно, характеризуется малым временным промежутком. Поэтому задача синхронизации значительно упрощается и представляет собой фиксацию входных информационных сигналов в строго определенный момент подачи или снятия импульса синхронизации. На рис. 9.7 на примере D-триггера показана организация динамического управления входом записи C по схеме трех триггеров.



    Рис. 9.7. Схема D-триггера с динамическим управлением.

    Хранение информации в схеме на рис.9.7 осуществяет каскадом асинхронного RS-триггера на вентилях И-НЕ D5 и D6, имеющего инверсные входы установки и сброса. Два других каскада триггеров на вентилях D1, D2, D3 и D4 реализуют механизм динамического управления и коммутации информационного сигнала D и сигнала записи (синхронизации) C. Элемент D4 формирует инверсное значение сигнала , которое подается на входы элементов D1 и D3. На выходе элемента D1 формируется прямое значение сигнала D. Если считать, что на входе D присутствует единица, то в момент подачи единицы на вход C, на входе основного триггера сформируется ноль, а на - единица. Весь триггер, таким образом, будет установлен в единичное состояние. Поскольку на верхнем входе элемента D3 будет присутствовать ноль (значение сигнала ), то этот элемент заблокирует схему, и она не будет реагировать на изменение сигнала на входе D. При наличии на входе D нуля и подачи на вход C единицы включается элемент D3 и сбрасывает основной триггер в ноль. Если теперь, во время действия единичного уровня сигнала на входе C, на вход D поступит единица, то удерживаемый на линии нулевой уровень (верхний вход элемента D4) не даст схеме изменить свое состояние. Таким образом, в изображенной на рисунке схеме, реакция на входной информационный сигнал D будет происходить только по переднему фронту импульса C. Данный триггер будет иметь прямое динамическое управление. На рис.9.8 показано обозначение входов с динамическим управлением на УГО элементов на примере входа синхронизации C.



    Рис. 9.8. Обозначения входов с динамическим управлением на УГО элементов.

    9.1.3. T-триггер.

    Асинхронный триггер T-типа является одновходовым устройством с двумя устойчивыми состояниями, изменяющимися каждый раз на противоположные при подаче на вход Т управляющего сигнала. Работа асинхронного Т-триггера задается таблицей переходов 9.5:

    Таблица 9.5.

    tn

    tn+1

    Tn

    Qn+1

    0

    Qn

    1




    Составленное по таблице переходов СДНФ для Qn+1 уже имеет минимальный вид:

    .

    Характерной особенностью T-триггера является то, что частота изменения потенциала на его выходах в два раза меньше частоты сигналов на входе T (рис. 9.9). Это свойство используется при построении двоичных счетчиков. Отсюда второе название T-триггера – счетный триггер. Вход Т принято называть счетным.

    Рис. 9.9. Диаграммы входного и выходного потенциалов T-триггера.

    Структуру асинхронного T-триггера можно определить путем преобразования его логической функции к удобному виду для синтеза в заданном базисе. Однако, из анализа работы асинхронного RS-триггера очевидно, что в том случае, если он находился в единичном состоянии ( и ), то для сброса его в нулевое состояние необходимо сигнал с прямого выхода Q подать на вход сброса R, а с инверсного выхода - на вход установки S. Если же RS-триггер изначально находился в нулевом состоянии ( и ), то для приведения его в единичное состояние необходимо сигнал с инверсного выхода подать на вход установки S, а с прямого выхода Q - на вход сброса R. Достигается это путем введения обратных связей (рис. 9.10,а). При этом роль входа Т будет выполнять вход разрешения C синхронного RS-триггера.



    Рис.9.10. Схема одноступенчатого асинхронного T-триггера на основе RS-триггера (а) и D-триггера (б)

    Т-триггер можно построить и на базе D-триггера. Если в логическом уравнении синхронного D-триггера принять , тогда уравнение запишется в виде:

    .

    Полученное выражение является ни чем иным, как логическим уравнением T-триггера при условии, что функцию входа T выполняет вход разрешения C D-триггера. При этом, на вход D необходимо подавать сигнал с инверсного выхода (рис.9.10,б).

    Рассмотренные на рис.9.10 структуры Т-триггера являются практически неработоспособными. Действительно, в течение всего времени, пока на входе T присутствует активный уровень сигнала, будет происходить непрерывная смена его состояний на противоположные с частотой, равной обратной величине удвоенного времени срабатывания триггера. В результате возникнет автоколебательный процесс. Триггер будет постоянно перебрасывать сам себя в период действия активно сигнала на входе T. Причина этого явления объясняется тем, что Т-триггер, обладая обратными связями, принимает информацию как из внешней среды, так и со своих собственных выходов. Поэтому для устойчивой работы Т-триггера необходимо разделить во времени функции приема тактирующего сигнала Т и фиксации на входах R, S или D сигналов с соответствующих выходов Q и . Для этого в структуру Т-триггера вводится дополнительный второй запоминающий элемент на базе RS- или D-триггера. На синхронизирующий вход этого элемента тактовый сигнал подается в инверсном виде по отношению к тактовому сигналу первого запоминающего элемента. Подобная организация структур триггерных устройств называется двухступенчатой. Пример двухступенчатого Т-триггера на базе двух синхронных RS-триггеров приведен на рис. 9.11,а, а на основе двух D-триггеров – на рис. 9.11,б. Из рисунка видно, что когда на синхронизирующем входе первого триггера в двухступенчатой структуре действует нулевой уровень тактирующего сигнала Т, он хранит свое состояние Q1 и . В это время на синхронизирующий вход второго триггера поступает инвертированный сигнал Т, т.е. имеющий уровень логической единицы. В результате второй триггер принимает состояние первого, т.е. Q1=Q2 и = . Запись в первый триггер при этом запрещена. Как только тактирующий сигнал Т примет уровень логической единицы, произойдет запись информации из второго триггера в первый. В результате состояние первого триггера изменится на противоположное. При этом запись во второй триггер производиться не будет, поскольку на его входе будет действовать нулевой уровень сигнала разрешения записи. Процесс будет повторяться с приходом каждого тактирующего импульса, что обеспечит устойчивую работу устройства. В условных графических обозначениях всех двухступенчатых триггеров принято в обозначении триггерной функции элемента указывать два символа «ТТ», как это показано на примере УГО двухступенчатого Т-триггера (рис. 9.11,в).



    Рис. 9.11. Двухступенчатый T-триггер на базе синхронных RS-триггеров (а), D-триггеров (б) и его условное графическое обозначение (в).
    9.1.4. JK-триггер.

    JK-триггер относится к двухвходовым устройствам и функционирует по правилам, похожим на правила функционирования RS-триггера. Отличие состоит в том, что в JK-триггере все состояния являются определенными. Можно провести аналогию входов JK- и RS-триггеров: вход K (от англ. «kill» – убить) JK-триггера выполняет функцию входа сброса R RS-триггера, а вход J (от англ. «jump» – прыгнуть) JK-триггера – функцию входа установки S RS-триггера. При этом, если в RS-триггере комбинация единичных значений входов R и S является запрещенной, то в случае аналогичной комбинации входов J и K, JK-триггер меняет свое состояние на противоположное. Правило работы синхронного JK-триггера можно сформулировать таблицей переходов 9.6:

    Таблица 9.6.

    tn

    tn+1

    Cn

    Kn

    Jn

    Qn+1

    0

    0

    0

    Qn

    0

    0

    1

    Qn

    0

    1

    0

    Qn

    0

    1

    1

    Qn

    1

    0

    0

    Qn

    1

    0

    1

    1

    1

    1

    0

    0

    1

    1

    1



    Соответствующее таблице логическое выражение имеет вид:

    .

    Поскольку при подаче единицы на входы J- и K триггер инвертирует свое состояние, т.е. выполняет функцию Т-триггера, то логично предположить, что структура синхронного JK-триггера должна повторять структуру T-триггера, т.е. она должна быть двухступенчатой. В принципе схема JK-триггера будет отличаться от схемы T-триггера только тем, что входные стробирующие вентили, входящие в состав RS-триггера первой ступени, должны содержать дополнительные выводы для синхронизируемых входов J и K. Т.е. входной каскад формирования стробированных сигналов и должен строиться на трехвходовых вентилях И-НЕ (рис.9.12,а). В остальном схема JK-триггера подобна схеме T-триггера на базе синхронных RS-триггеров и работает по точно такому же принципу. Также в этой схеме показаны инверсные входы асинхронной установки и сброса , дополняющие каскад выходного асинхронного RS-триггера второй ступени. Условное графическое обозначение синхронного двухступенчатого JK-триггера с входами асинхронной установки приведено на рис. 9.12,б.



    Рис. 9.12. Структура синхронного JK-триггера с входами асинхронной установки (а) и его условное графическое обозначение (б).

    Триггер JK-типа относится к разряду универсальных, поскольку на его основе можно получить схемы, выполняющие функции RS-, D- и T-триггеров. Поскольку правила функционирования JK-триггера не нарушают правил функционирования RS-триггера, а только дополняют его неопределенное состояние конкретным, то для реализации функций RS-триггера JK-триггер можно использовать без каких либо изменений. Достаточно сигнал S подать на вход J, а сигнал R – на вход K (рис. 9.13,а). Для реализации на базе JK-триггера функций D-триггера необходимо избавиться от свойства парафазности JK-триггера, установив дополнительный инвертор, формирующий инверсное значение сигнала для подачи его на вход K (рис. 9.13,б). Для получения T-триггера достаточно объединить входы J и K, подав на них постоянный уровень логической единицы и задав, таким образом, режим, когда JK-триггер будет инвертировать свое состояние. Само же инвертирование будет происходить лишь при поступлении на вход C разрешающих импульсов. Вход C будет выполнять функции счетного входа T (рис. 9.13,в). Кроме того, существуют микросхемы синхронных T-триггеров, которые формируются из JK-триггеров, объединенные входы J и K которых используются как вход Т, а вход синхронизации С - как самостоятельный дополнительный синхронизирующий вход (рис9.13,г).



    Рис. 9.13. Реализация на базе синхронного JK-триггера функций синхронного RS-триггера (а), D-триггера (б), асинхронного T-триггера (в) и синхронного T-триггера (г).

    Также как и в случае D-триггера, в JK- и T-триггерах часто вход синхронизации C реализуется по принципу динамического управления. Пример построения схемы синхронного JK-триггера с динамическим управлением на основе элементов И-НЕ приведен на рис.9.14,а, а на основе элементов ИЛИ-НЕ – на рис.9.14,б. Обе схемы идентичны и построены по принципу трех триггеров, как и схема рис.9.7. Хранение записаной информации осуществляется асинхронным RS-триггером на вентилях D5 и D6, а коммутация входных сигналов и динамическое управление - триггерами на вентилях D1, D2, D3 и D4. В первой схеме срабатывание триггера будет осуществляться по переднему фронту сигнала С, а на второй – по заднему.



    Рис.9.14. Схема синхронного JK-триггера с динамическим управлением на элементах И-НЕ (а) и ИЛИ-НЕ (б).

    В маркировке микросхем для обозначения функции RS-триггера используют комбинацию символов «ТР», функции D-триггера - комбинацию символов «ТМ» и функции JK-триггера – комбинацию символов «ТВ». Микросхемы триггеров T-типа встречаются редко, поскольку они легко получаются из JK-триггеров.
    1   ...   8   9   10   11   12   13   14   15   16


    написать администратору сайта